《EDA脈沖寬度測量儀實(shí)驗(yàn)報(bào)告要點(diǎn)》由會(huì)員分享,可在線閱讀,更多相關(guān)《EDA脈沖寬度測量儀實(shí)驗(yàn)報(bào)告要點(diǎn)(13頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。
1、湖南涉外經(jīng)濟(jì)學(xué)院
課程設(shè)計(jì)報(bào)告
課程名稱: EDA 技術(shù)與應(yīng)用
報(bào)告題目:脈沖寬度測量儀
學(xué)生姓名: 劉君瑋
所在學(xué)院:電子科學(xué)與信息學(xué)院
專業(yè)班級(jí): 電子信息1302
學(xué)生學(xué)號(hào): 134110229
指導(dǎo)教師: 羅志年 李剛
2015年12月24日
EDA課程設(shè)計(jì)任務(wù)書
報(bào)告題目
脈,蟲度測量儀
完成時(shí)間
12.23
1.11
學(xué)生姓名
劉君瑋
專業(yè)
班級(jí)
電信
1302
指導(dǎo)教師
羅志年
李剛
職稱
副教授
講師
設(shè)計(jì)目的
在電子技術(shù)及其應(yīng)用領(lǐng)域中,常需要對各種系統(tǒng)工作的時(shí)間特性進(jìn)行分析測量, 如圖一給出的這種時(shí)間特性示意
2、圖,其中t表示時(shí)間脈沖寬度,即指脈沖起始時(shí)間 和終止時(shí)間的持續(xù)時(shí)間。T表示一個(gè)工作周期,即從脈沖的一個(gè)上升沿到下一個(gè)上 升的時(shí)間。
___ 一
圖一脈沖寬度與周期
在測量與儀器儀表領(lǐng)域,經(jīng)常需要對數(shù)字信號(hào)的脈沖寬度進(jìn)行測量, 如轉(zhuǎn)速傳 感器、外部系統(tǒng)的門控與選通脈沖,以及 PWM(永沖寬度調(diào)制)輸入的頻率等。因 此可以說脈沖寬度和周期是關(guān)于脈沖的重要指標(biāo)。無論是模似電路還是數(shù)字電路, 往往都需要對脈沖寬度進(jìn)行測量。
設(shè)計(jì)內(nèi)容
(1)脈沖信號(hào)寬度的測量精度為 1ms
(2)脈沖信號(hào)寬度的測量范圍為 0?10s。
(3)調(diào)試過程中可以用按鍵模擬脈沖信號(hào)。
(4)測量值用5位數(shù)碼管顯
3、示(可以采用靜態(tài)顯示)。
(5)輸入信號(hào)為標(biāo)準(zhǔn)TTL電平。
(6)調(diào)試中既可以采用正脈沖,也可以采用負(fù)脈沖(任選其一) 。
(7)必須先進(jìn)行前仿真,并打印出仿真波形。
(8)按要求寫好設(shè)計(jì)報(bào)告(設(shè)計(jì)報(bào)告內(nèi)容包括:引言,方案設(shè)計(jì)與論證,總體設(shè) 計(jì),各模塊設(shè)計(jì),調(diào)試與數(shù)據(jù)分析,總結(jié))。
設(shè)計(jì)步驟
工作內(nèi)容及時(shí)間進(jìn)度安排
共2周:12.22小組成員分工寫好各模塊的程序
12.23 將程序生成的模塊進(jìn)行調(diào)試、整合。
12.24 在實(shí)驗(yàn)平臺(tái)上進(jìn)行驗(yàn)證。
課程設(shè)計(jì)成果
1 .與設(shè)計(jì)內(nèi)容對應(yīng)的軟件程序
2 .課程設(shè)計(jì)總結(jié)報(bào)告
摘要
隨著EDAK術(shù)的迅速發(fā)展,在EDA<件平臺(tái)上,根
4、據(jù)硬件描述語言 VHDL
完成的設(shè)計(jì)文件, 自動(dòng)地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局線和
仿真, 直至對于特定目標(biāo)芯片的適配編譯、 邏輯映射和編程下載等工作成了脈沖
測量的發(fā)展方向。 采用此種發(fā)法, 設(shè)計(jì)者的工作僅限于利用軟件的方式來完成對
系統(tǒng)硬件功能的描述,在EDAL具的幫助下和應(yīng)用相應(yīng)的 FPGA/CPLDI件,就可
以得到最后的設(shè)計(jì)結(jié)果。
通常采用脈沖計(jì)數(shù)法, 即在待測信號(hào)的高電平或低電平用一高頻時(shí)鐘脈沖進(jìn)
行計(jì)數(shù), 然后根據(jù)脈沖的個(gè)數(shù)計(jì)算待測信號(hào)寬度, 如圖四所示。 待測信號(hào)相對于
計(jì)數(shù)時(shí)鐘通常是獨(dú)立的, 其上升、 下降沿不可能正好落在時(shí)鐘的邊沿上, 因此該
5、
法的最大測量誤差為一個(gè)時(shí)鐘周期。例如采用 50MHz 的高頻時(shí)鐘,最大誤差為
20ns。
關(guān)鍵詞: 脈寬;脈沖;數(shù)顯;電容
一、概述 1
二、需求分析 2
三、系統(tǒng)設(shè)計(jì) 3
四、**模塊詳細(xì)設(shè)計(jì)與實(shí)現(xiàn) 4
五、結(jié)論與心得 6
六、參考文獻(xiàn) 6
I
1、 概述
在測量與儀器儀表領(lǐng)域, 經(jīng)常需要對數(shù)字信號(hào)的脈沖寬度進(jìn)行測
量,如轉(zhuǎn)速傳感器、外部系統(tǒng)的門控與選通脈沖,以及 pwm脈沖寬
度調(diào)制 ) 輸入的頻率等。因此可以說脈沖寬度和周期是關(guān)于脈沖的重
要指標(biāo)。 無論是模似電路還是數(shù)字電路, 往往都需要對脈沖寬度進(jìn)行
測量。
參與此次課程設(shè)計(jì)的小組成員
6、為:劉君瑋,王鄭軍,劉格誠,楊卓龍。各自
參與了程序編寫、原理圖繪制、實(shí)驗(yàn)驗(yàn)證的工作。
整體情況良好,在規(guī)定時(shí)間內(nèi)完成了各項(xiàng)工作。
2、 需求分析
1 .脈沖檢測
實(shí)現(xiàn)對脈沖的檢測,即信號(hào)的輸入。
2.計(jì)數(shù)器
對脈寬進(jìn)行計(jì)數(shù)
3.?dāng)?shù)據(jù)選擇器
對數(shù)據(jù)進(jìn)行選擇處理
4. LED顯示譯碼器
對統(tǒng)計(jì)的結(jié)果進(jìn)行顯示
3、 系統(tǒng)設(shè)計(jì)
1.系統(tǒng)模塊
( 1)脈沖檢測模塊
當(dāng)有檢測到 P_IN 端有脈沖輸入( P_IN=1 )時(shí), EN_OUT=1 輸送到計(jì)數(shù)器
EN 端。
2)計(jì)數(shù)模塊
計(jì)數(shù)模塊是對檢測到的脈沖進(jìn)行計(jì)數(shù) ,計(jì)算出脈沖的寬度
(3)譯碼顯示模塊
對輸入
7、的脈沖寬度進(jìn)行編碼,然后在數(shù)碼管上顯示出來。
2.總流程圖
6
時(shí)鐘信號(hào)
計(jì)數(shù)信號(hào)
輸入脈沖
清零復(fù)位
顯
示
四、詳細(xì)程序設(shè)計(jì)
(1)脈沖檢測模塊
library ieee;
use ieee.std_logic_1164.all;
entity check is
port(
P_IN : in std_logic;
EN_OUT: out std_logic);
end check;
architecture behave of check
8、 is
begin
process (P_IN)
begin
if(P_IN=1) then EN_OUT<=1; --- 實(shí)現(xiàn)檢測,若有脈沖就輸出為 1
else EN_OUT<=0; --- ,否則為 0
end if;
end process;
end behave;
( 2)計(jì)數(shù)模塊
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count is
port(EN:in std_logic;
CLK:in std_logic;
CLR:i
9、n std_logic;
CQ:out std_logic;
Qout:BUFFER std_logic_vector(3 downto 0)
);
end count;
architecture art of count is
begin
U1:process(CLK,CLR,EN,QOUT)
Begin
if CLR=1 then Qout<="0000";
elsif CLKEVENT and CLK=1 then
if EN=1 then
if Qout="1001" then Qout<="0000";
else Qout<=Qout+1;
end if;
10、
end if ;
end if ;
if Qout="1001" then
CQ<=1;
else
CQ<=0;
end if;
end PROCESS U1;
end art; ( 3)譯碼顯示模塊
library ieee;
use ieee.std_logic_1164.all;
ENTITY led IS
PORT(X:IN BIT_VECTOR(3 DOWNTO 0);
Y:OUT BIT_VECTOR(6 DOWNTO 0));
--sel:out std_logic_vector(7 downto 0));
END ENTITY led;
AR
11、CHITECTURE ART OF led IS
BEGIN
--sel<="11111110";
PROCESS(X) is
BEGIN
IF X="0000" THEN Y<="1111110";--0
ELSIF X="0001" THEN Y<="0110000";--1
ELSIF X="0010" THEN Y<="1101101";--2
ELSIF X="0011" THEN Y<="1111001";--3
ELSIF X="0100" THEN Y<="011001T;--4
ELSIF X="0101" THEN Y<="1011011";--5
12、ELSIF X="0110" THEN Y<="0011111";--6
ELSIF X="0111" THEN Y<="1110000”;--7
ELSIF X="1000" THEN Y<="1111111”;--8
ELSIF X="1001" THEN Y<="1110011";--9
--ELSIF X="1010" THEN Y<="1110111";--A
--ELSIF X="1011" THEN Y<="0011111”;--b
--ELSIF X="1100" THEN Y<="1001110”;--C
--ELSIF X="1101" THEN Y<="01
13、11101";--d
--ELSIF X="1110" THEN Y<="1001111";--E
--ELSIF X="1111" THEN Y<="1000111";--F
ELSE NULL;
END IF;
END PROCESS;
END ARCHITECTURE ART;
?、?
d0|3 時(shí) 明口 JW 邳*
d刮3時(shí) ML的 科工的
峋1鵬
4叨 u0r..G]
五、總原理圖與管腳鎖定表
4K[3..O] did4 H2T3..0J 由口5] MM
tf7n..ni
總模塊圖
ft El」-【F
i*l l-rinwri
u
Z J* k
14、idll*1 i仙蚪aq
15-i $WUI
ISiigMUI z-ifaeiR^j 13、EMUI 2 1 ”日網(wǎng) [,4i;xui a 4 *
K*”卻 2. h Idriwll IS r * 11 Lirf■西
,4kl^ 地 工九曲aj
管腳鎖定表
六、結(jié)論與心得
經(jīng)過調(diào)試及結(jié)果分析,設(shè)計(jì)滿足任務(wù)要求。脈沖信號(hào)寬度的測量精度符合土 1m6測量范圍為0?10s。
這次的課程設(shè)計(jì)對于我來說是一次演練, 從選題到畫出流程圖,再到寫出程
序,不停的編不停的改不停的查資料……直至最后完成, 有問題大家都坐在一起
討論,一起努力,一起攻克問題。我想我們享受的就是這個(gè)過程,而不僅僅只是 結(jié)果。通過這次的設(shè)計(jì),我知道了,在任何問題面前,只要我們努力,只要我們 勇敢,我想,它們都不是問題,都不是困難。
七、參考文獻(xiàn)
[1]高金定主編.EDA技術(shù)與應(yīng)用.中國電力出版社.2010
[2]劉英.脈寬的測量和參數(shù)分析.電子信息對抗技術(shù).2007
[3]吳大正.信號(hào)與線性系統(tǒng)分析.高等教育出版社.2005
[4]閻石.數(shù)字電子技術(shù)基礎(chǔ).第四版.北京:高等教育出版社,1998
教師評語:
教 師
評
語
及
設(shè) 計(jì)
成
績
課程設(shè)計(jì)成績:
指導(dǎo)教師: (簽名)
日期:年—月—日