數(shù)字功率放大器[共39頁]
《數(shù)字功率放大器[共39頁]》由會員分享,可在線閱讀,更多相關(guān)《數(shù)字功率放大器[共39頁](38頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。
1、 1 BIT 全數(shù)字音頻功率放大系統(tǒng) 電子科技大學(xué)微電子研究所 2000/9/12 (一)、概述 一、 數(shù)字功放的意義及應(yīng)用 數(shù)字變換技術(shù)是21世紀(jì)發(fā)展的重要領(lǐng)域之一,較模擬變換技術(shù)更具有廣泛的應(yīng)用需求和強(qiáng)大的生命力。目前的視聽產(chǎn)品(如CD、LD、VCD、DVD、電腦音響、家庭影院等)和通訊產(chǎn)品(如手機(jī))的音頻功率放大系統(tǒng),均采用D/A變換技術(shù),它存在信號失真、效率低、抗干擾性差等問題;較之而言,數(shù)字功放是新一代高保真、低功耗的全數(shù)字功率放大系統(tǒng)。具有以下顯著優(yōu)點(diǎn):高保真、高效率、高過載能力
2、與高功率儲備能力、功放和揚(yáng)聲器的匹配好、聲像定位好、升級換代容易、生產(chǎn)調(diào)試方便等,且與未來的全數(shù)字式音頻廣播、高清晰度電視的發(fā)展趨勢相匹配。既可采用現(xiàn)有的CD機(jī)(或DVD機(jī))、DAT(數(shù)字錄音機(jī))、PCM(脈沖編碼調(diào)制錄音機(jī))作為數(shù)字音源,又具備模擬音頻輸入接口,兼容現(xiàn)有的模擬音源,因此具有廣闊的市場: 1、視聽產(chǎn)品市場 數(shù)字功放高品質(zhì)的特性必將使其引起相關(guān)視聽產(chǎn)品的更新?lián)Q代,它將擁有上千億的市場。如家庭用CD、LD、VCD、DVD、電視機(jī)音響、電腦音響、家庭影院等;公共場所用音響系統(tǒng)如:會議室、音樂廳、電影院、廣場、火車站、機(jī)場用功放系統(tǒng)等等,這方面預(yù)計(jì)將有數(shù)千億的產(chǎn)值。1997年全國
3、音響設(shè)備的整機(jī)銷售量及其實(shí)際市場統(tǒng)計(jì)數(shù)據(jù)如表1所示 (單位:萬臺)。表1 1997年全國音響設(shè)備的整機(jī)銷售量及其實(shí)際市場 VCD CD 汽車收音機(jī) 收錄機(jī) 音響 彩電電路 300 1000 700 3000 4000 2000 我們僅家庭擁有情況來計(jì)算,按一個(gè)家庭擁有一套上述視聽產(chǎn)品,每套視聽產(chǎn)品用數(shù)字功放系統(tǒng)價(jià)值2000元,全國3億家庭,即是6000億元產(chǎn)值。 2、便攜產(chǎn)品市場 數(shù)字功放效率高、耗能少的特性必將使其廣泛應(yīng)用與便攜產(chǎn)品市場。如:移動(dòng)通訊產(chǎn)品(如手機(jī))、便攜式電腦、發(fā)音電子字典、復(fù)讀機(jī)、汽車火車等交通工具用音響、便攜式收音機(jī)
4、、隨身聽、便攜式CD機(jī)等等。 根據(jù)信息產(chǎn)業(yè)部計(jì)算機(jī)與微電子研究中心(CCID)的統(tǒng)計(jì),便攜式多媒體電腦的銷售量為29.8萬臺,銷售額58.7億元。多媒體電腦的銷售趨勢是每年以大于20%的速率增長,可見僅便攜式多媒體電腦一項(xiàng)便是一個(gè)十分巨大的市場。根據(jù)以上統(tǒng)計(jì)數(shù)字,按每臺便攜式多媒體電腦采用300元小功率數(shù)字音頻功放系統(tǒng)計(jì)算,則僅便攜式多媒體電腦用全數(shù)字小功率音頻功放系統(tǒng)每年擁有大約一億元的市場?! ? 3、未來的全數(shù)字系統(tǒng)市場 全數(shù)字化功放將使其與未來的數(shù)字音頻廣播、高清晰度數(shù)字電視產(chǎn)品融為一體,成為3G新興產(chǎn)業(yè)的重要組成部分,這方面的產(chǎn)值會在500億以上。 多媒體電腦與
5、上述家電產(chǎn)品的結(jié)合是今后電腦和家電產(chǎn)品發(fā)展的必然趨勢。目前國內(nèi)的上海市已經(jīng)在開展三網(wǎng)合一工程,將互聯(lián)網(wǎng)、有線電視網(wǎng)、通訊網(wǎng)合三為一。三網(wǎng)合一必須用到多媒體電腦,所以全數(shù)字多媒體電腦音頻功放今后必然融入上述家電,擁有更大的市場。 二、 數(shù)字功放的特點(diǎn) 1、高保真。數(shù)字功放的交越失真、失配失真和瞬態(tài)互調(diào)失真均小。 晶體管在小電流時(shí)的非線性特性會引起模擬功放在輸出波形正負(fù)交叉處的失真(小信號時(shí)的晶體管會工作在截止區(qū),此時(shí)無電流通過,導(dǎo)致輸出嚴(yán)重失真)稱為交越失真,交越失真是模擬功放天生的缺陷;而數(shù)字功放只工作在開關(guān)狀態(tài),不會產(chǎn)生交越失真。模擬功放存在推挽對管特性不一致而造成輸出波形上下不對稱的
6、失配失真,因此在設(shè)計(jì)推挽放大電路時(shí),對功放管的要求非常嚴(yán)格,即使如此也未必能夠做到完全對稱。而數(shù)字功放對開關(guān)管的配對無特殊要求,無須嚴(yán)格匹配;模擬功放為保證其電聲指標(biāo),幾乎無一例外都采用負(fù)反饋電路,在負(fù)反饋電路中,為抑制寄生振蕩,采用相位補(bǔ)償電路,從而會產(chǎn)生瞬態(tài)互調(diào)失真。數(shù)字功放在功率轉(zhuǎn)換上無須反饋電路,從而避免了瞬態(tài)互調(diào)失真。 2、高效率,可達(dá)75%~95%。 由于數(shù)字功放采用開關(guān)放大電路,效率極高,可達(dá)75%~95%(模擬功放一般僅為30%~50%,甚至更低),在工作時(shí)發(fā)熱量非常小。功率器件均工作在開關(guān)狀態(tài),因此它基本上沒有模擬功放的靜態(tài)電流損耗,所有能量幾乎都是為音頻輸出而儲備,而且
7、瞬態(tài)響應(yīng)好。 3、過載能力與功率儲備能力強(qiáng) 數(shù)字功放電路的過載能力遠(yuǎn)遠(yuǎn)高于模擬功放。模擬功放分為A類、B類或AB類等幾類功率放大電路,正常工作時(shí)功放管工作在線性區(qū);當(dāng)過載后,功放管工作在飽和區(qū),出現(xiàn)削頂失真,失真呈指數(shù)級增加,音質(zhì)迅速變壞。而數(shù)字功放在功率放大時(shí)一直處于飽和區(qū)和截止區(qū),只要功率管不損壞,失真度不會迅速增加。 4、功放和揚(yáng)聲器的匹配好 由于模擬功放中采用的功放管內(nèi)阻較大,所以在匹配不同阻值的揚(yáng)聲器時(shí),模擬功放電路的工作狀態(tài)會受到負(fù)載(揚(yáng)聲器)大小的影響。而數(shù)字功放的輸出電阻不超過0.2歐姆(開關(guān)管的內(nèi)阻加濾波器內(nèi)阻),相對于負(fù)載(揚(yáng)聲器)的阻值(4~8歐姆)完全可以忽略不
8、計(jì),因此不存在于揚(yáng)聲器的匹配問題。 5、聲像定位好 對模擬功放來說,輸出信號和輸入信號之間一般都存在著相位差,而且在輸出功率不同時(shí),相位失真亦不同。而數(shù)字功放采用對數(shù)字信號進(jìn)行放大,使輸出信號與輸入信號完全一致,相移為零,因此聲像定位準(zhǔn)確。 6、生產(chǎn)調(diào)試方便 模擬功放存在著各級工作點(diǎn)的調(diào)試問題,不利于大批量生產(chǎn)。而數(shù)字功放大部分為數(shù)字電路,一般不需要調(diào)試即可正常工作,特別適合大規(guī)模生產(chǎn)。 三、 國內(nèi)外技術(shù)發(fā)展?fàn)顩r及特征 國外對數(shù)字音頻功率放大器領(lǐng)域進(jìn)行了二十年的研究。在六十年代中期,日本研制出8bit的數(shù)字音頻功率放大器;1983年,國外提出了D類(數(shù)字)PWM功率放大器的基本結(jié)構(gòu)
9、。但是這些功放僅能實(shí)現(xiàn)低位D/A功率轉(zhuǎn)換,若要實(shí)現(xiàn)16bit、44.1kHz采樣的功率放大器,其末級時(shí)鐘則約需2.8GHz,顯然無法實(shí)現(xiàn)。此后,研究的焦點(diǎn)在于降低其時(shí)鐘頻率。 近年來隨著超大規(guī)模集成電路(VLSI)技術(shù)的進(jìn)步,在數(shù)字音頻小信號處理技術(shù)方面取得了顯著的進(jìn)步,主要解決了多級噪聲整形技術(shù)、比特流技術(shù)、CIRC解碼和糾錯(cuò)處理技術(shù),內(nèi)插和靜音處理技術(shù)、超取樣數(shù)字濾波技術(shù)等等。在這方面國外大公司在80年代末90年代初開始推出其IC芯片產(chǎn)品。如:松下公司的MN系列、AD公司的ADSP2100系列、T&T公司的DSP32系列、摩托羅拉公司的DSP5600系列等等。 目前,荷蘭的PHILIP
10、S公司與日本的SHARP公司均研制出了 1BIT 數(shù)字功放并成功投放市場;國內(nèi)則有天奧集團(tuán)公司的1BIT 數(shù)字功放也于近期正式投放市場。天奧公司的 1BIT 數(shù)字功放在數(shù)字信號處理部分采用FPGA芯片及超取樣數(shù)字濾波及△-∑調(diào)制等電路。 四、 本電路特點(diǎn) 本電路為 1BIT 全數(shù)字功率放大電路,與國內(nèi)現(xiàn)有的產(chǎn)品相比,具有以下特點(diǎn): 1、 采用自行開發(fā)有自主知識產(chǎn)權(quán)的超大規(guī)模集成電路。該電路采用8倍超取樣數(shù)字濾波,多級噪聲整形和△-∑調(diào)制,13級到24級PWM信號輸出的方案,保證了整個(gè)系統(tǒng)的頻響特性。 2、 可兼容不同BIT數(shù)和不同格式數(shù)字音頻信號。數(shù)字信號選擇器可實(shí)現(xiàn)對數(shù)字音頻信號BI
11、T位數(shù)識別(16BIT-24BIT),接口格式識別,系統(tǒng)取樣率識別等功能。 3、 功放級采用了自行研制的數(shù)字功放專用高速平衡橋驅(qū)動(dòng)電路。該電路采用了先進(jìn)的窄脈沖及死區(qū)技術(shù),為系統(tǒng)高速穩(wěn)定的運(yùn)作提供了有力的保障。 (二)、1BIT全數(shù)字音頻功率放大器方案 全數(shù)字音頻功放是直接通過光纖和數(shù)字同軸電纜從數(shù)字音源接口接收數(shù)字PCM音頻信號,輸入模擬音頻信號時(shí)先經(jīng)過內(nèi)置的A/D轉(zhuǎn)換成數(shù)字信號后在進(jìn)行處理,在整個(gè)信號處理和功率放大過程中,全部采用數(shù)字方式,只有在功率放大后為了推動(dòng)音箱才轉(zhuǎn)化為模擬信號。 一、技術(shù)性能
12、38 輸入接口 :I2R ,S/P,模擬信號 比特字長 :16BIT~ 24BIT 頻率響應(yīng) :20Hz ~ 20KHz 信 噪 比 : ≥96dB (16BIT) 總諧波失真:≤0.02% (THD+N) 動(dòng)態(tài)范圍 :98dB ~ 146dB (16~24BIT) 互調(diào)失真 :≤0.04% 負(fù)載阻抗 :4Ω~ 8Ω 二、工作原理 經(jīng)分析比較給出1BIT全數(shù)字音頻功率放大器方案,其框圖見圖1 圖1 1BIT全數(shù)字音頻功率放大器框圖 本方案允許數(shù)字音頻信號和模擬信號輸入。模擬信號輸入時(shí)經(jīng)過低通濾波后進(jìn)入ADC
13、完成AD轉(zhuǎn)換后進(jìn)行編碼,最后進(jìn)入數(shù)字信號選擇器。該功能可由CS8402A 和CS5390完成。 數(shù)字信號選擇器可完成對多路數(shù)字信號的選擇。選中的多BIT數(shù)字音頻信號進(jìn)入數(shù)字信號接收和轉(zhuǎn)換電路。完成對數(shù)字音頻信號BIT位數(shù)識別(16BIT-24BIT),接口格式識別,系統(tǒng)取樣率識別,同軸復(fù)合音頻數(shù)碼信號轉(zhuǎn)換串行音頻數(shù)字信號等功能。該部分由YM3623B或類似的CS8412,CS8414,TDA1315電路完成。 數(shù)字信號處理主要完成將多BIT信號轉(zhuǎn)換成1BIT信號,并以PWM形式輸出。該電路是整個(gè)系統(tǒng)的核心。貴公司寄來的材料中采用SM5871(IC17)電路實(shí)現(xiàn),但迄今我們尚
14、未查到該電路。我們擬采用SM5872完成其功能,進(jìn)一步考慮到改進(jìn)數(shù)字信號處理算法以提高整機(jī)性能和降低成本的需要,我們正著手自行開發(fā)有自主知識產(chǎn)權(quán)的超大規(guī)模集成電路。該電路采用8倍超取樣數(shù)字濾波,多級噪聲整形和△-∑調(diào)制,13級到24級PWM信號輸出的方案。其功能與SM5872一致,且指標(biāo)將優(yōu)于SM5872。詳細(xì)方案和與SM5872的指標(biāo)比較將在專門的技術(shù)報(bào)告中給出。 1BIT功放級是整個(gè)系統(tǒng)的另一個(gè)核心部分,采用本單位研究成果“D類功放專用全橋驅(qū)動(dòng)電路和平衡橋電路”完成。該電路采用了窄脈沖電平位移技術(shù),開關(guān)噪聲抑制技術(shù)和提高功率橋可靠性的死區(qū)產(chǎn)生技術(shù)。 低通濾波器采用四階
15、巴特沃斯低通濾波器,用于將大功率數(shù)字脈沖信號轉(zhuǎn)換為模擬音頻信號。巴特沃斯低通濾波器的特點(diǎn)是帶內(nèi)平坦度高,從而使得輸出音頻信號幅頻特性較好。 三、仿真要求 仿真工作重點(diǎn)在于對影響整機(jī)性能指標(biāo)的數(shù)字信號處理部分、1BIT功放部分和輸出級低通濾波部分進(jìn)行分析。其中數(shù)字處理部分的方案和算法將很大程度上決定了信噪比的重要參數(shù);1BIT功放部分和輸出級低通濾波部分的電路設(shè)計(jì)和優(yōu)化是進(jìn)一步提高整機(jī)指標(biāo)的關(guān)鍵,因此應(yīng)將上述幾部分聯(lián)合起來仿真分析。 (三)、模擬信號的低通濾波部分設(shè)計(jì)與仿真 一、.原理分析 低通濾波器的主要作用:削弱高次諧波及頻率較高的干擾和噪音。 有補(bǔ)償作用
16、的反相放大器的主要作用:防止自激振蕩和反相放大。 輸入信號低通濾波的原理圖為圖2: 低通 低通 in1 m1 x1 out1 低通 反相放大
17、 x3 z 低通 in2 out2 m2 x2 圖2 低通濾波原理圖 輸入信號S(n)經(jīng)過二次低通濾波輸出信號為OUT1,OUT2。比較,得△Y,進(jìn)行反相放大,得信號X3。X1=M1-X3,X2=M2-X3。對X1,X2進(jìn)行有源低通濾波,得輸出信號。 二、器件參數(shù) 1、TL071,TL072,TL074低噪聲JFET輸入運(yùn)算放大器,為了低輸入失調(diào)電壓,每個(gè)內(nèi)部補(bǔ)償?shù)倪\(yùn)算放大器,該器件所
18、表現(xiàn)的低噪聲的低諧波失真使之成為高保真音頻放大器應(yīng)用的理想器件。 .低輸入噪聲電壓:18nV/(典型) .低諧波失真:0.001%(典型) .低輸入偏置和失調(diào)電流 .高輸入阻抗:1012Ω(典型) .高轉(zhuǎn)換速率:13V/μs(典型) .寬增益帶寬:4.0MHz(典型) .低電源電流:每個(gè)放大器1.4mA 2、 AD711美國模擬器件,同類替代品LF411系列。 LF411C,LF412C低失調(diào),低漂移JFET輸入運(yùn)算放大器。 .低輸入失調(diào)電壓最大值:2.0mV最大值(單)
19、 3.0mV最大值(雙) .低溫度系數(shù)的輸入失調(diào)電壓:10Μv/℃ .低輸入失調(diào)電壓:20pV .低輸入偏置電流:60pA .低輸入噪聲電壓:18nV/ .低輸入噪聲電流:0.01pA/ .低的總諧波失真:0.05% .低的電源電流:2.5mA .高輸入電阻:1012Ω .寬的增益帶寬:8.0MHz .高轉(zhuǎn)換速率:25V/μs (典型值) .快速建立時(shí)間:1.6μs (在0.01%內(nèi)) 三、電路分析 1、有源低通濾波器如圖3所示:
20、 圖3 有源低通濾波器 注:實(shí)際仿真時(shí)的電容值為800pF 2、有補(bǔ)償作用的反相放大器如圖4所示: 圖4 有補(bǔ)償作用的反相放大器 注:實(shí)際仿真時(shí)的電容值為1nF 四、仿真波形 1、 f=200Hz時(shí)波形圖為圖5、6、7、8 圖5 圖6 圖7 圖8 (3)20kHz時(shí)波形圖為 圖9、10、11、12 圖9 圖10 圖11
21、 圖12 五、仿真參數(shù) 頻譜特性分析: F(Hz) 20 50 500 1k 5k 8k 10k 13k Out(mv) 4.50 4.50 4.50 4.50 5.00 5.50 6.00 7.00 X(mv) 50.0 50.0 50.0 50.0 53.0 56.0 59.0 68.0 11.1 11.1 11.1 11.1 10.6 10.2 9.9 9.7 20lg|Au| 20.906 20.906 20.906
22、 20.906 20.506 20.156 19.851 19.748 相移 0.000 0.000 0.000 0.000 0.050π 0.072π 0.080π 0.0884π F(Hz) 15k 18k 20k 23k 25k 30k 50k Out(mv) 7.50 8.70 9.50 10.7 11.5 14.0 23.5 X(mv) 66.0 70.0 72.0 75.0 76.0 81.0 94.0 8.8 8.1 7.6 7.0 6.6 5.8 4.0 20lg|Au|
23、18.890 18.112 17.592 16.913 16.402 15.247 12.042 相移 0.090π 0.0936π 0.088π 0.0828π 0.080π 0.072π 0.035π 頻譜圖如圖13,相移圖如圖14所示: 圖13頻譜圖 圖14 相移圖 (
24、四)、數(shù)字信號處理部分設(shè)計(jì)與仿真 一、基本原理 數(shù)字信號處理芯片設(shè)計(jì)包括接口電路、超采樣數(shù)字濾波器、多級噪聲整形(MASH)、PWM轉(zhuǎn)換和時(shí)鐘控制部分等幾個(gè)功能塊。 系統(tǒng)設(shè)計(jì)要求: 輸 入:16比特,44.1KHz串行數(shù)據(jù)信號;左右聲道同步信號;位時(shí)鐘同步信號。 串并行變換器:輸入以上三個(gè)信號,輸出16比特,44.1KHz的并行信號,同時(shí)分解出左右聲道信號。并以此為數(shù)字濾波器的輸入。 數(shù)字濾波器 :輸入以上并行信號,輸出20比特,44.1KHz8=352.8KHz的并行信號,并以此作為多級噪聲整形系統(tǒng)的輸入。 多級噪聲整形部分:輸入以上并行信號,輸出4比特,44.
25、1KHz16=705.6KHz的并行信號(低頻信噪比比較高),并以此作為PWM產(chǎn)生電路的輸入。 PWM產(chǎn)生電路:輸入以上并行信號,輸出PWM信號。 時(shí) 鐘 電 路:包括一個(gè)倍頻器,輸入位時(shí)鐘信號,經(jīng)過倍頻和分頻,得到各個(gè)部分所需的同步時(shí)鐘。 整個(gè)電路的具體框圖如圖15所示。 串并 行變 換 數(shù)字 濾波 器 MASH PWM產(chǎn)生電路 時(shí)鐘控制同步電路 PWM波 輸出 data lrclk bclk 圖15 數(shù)字信號處理芯片系統(tǒng)設(shè)計(jì) 二、仿真及綜合 仿真工作包括VHDL功能仿真(數(shù)字仿
26、真)、數(shù)?;旌戏抡?、系統(tǒng)綜合、后仿真結(jié)果等幾個(gè)部分。詳細(xì)的模擬分析結(jié)果在專門的技術(shù)報(bào)告中給出。 1、 VHDL功能仿真(數(shù)字仿真) 數(shù)字音頻處理集成電路的VHDL語言描述(摘要)如下: --D_audio.vhd library IEEE; use IEEE.std_logic_1164.all; entity digital_audio is port( data_in: in std_logic_vector(15 downto 0); clk: in STD_LOGIC; clr: in std_logic; data_out: o
27、ut std_logic); end digital_audio; architecture data_flow of digital_audio is ……………………………………………………………… component sdf --數(shù)字濾波器, Port( data_in: in std_logic_vector(15 downto 0); clk: in std_logic; …………………………………… data_out: out std_logic_vector(19 downto 0)); end component;
28、 component clock --時(shí)鐘 port( clk384: in STD_LOGIC; …………………………………… clk4: out STD_LOGIC); end component; component mash ---多級噪聲整形 port( data_in: in STD_LOGIC_VECTOR(19 downto 0); …………………………………… data_out: out STD_LOGIC_VECTOR(3 downto 0)); end component;
29、 component convert --PWM 生成電路 …………………………………………… end component; begin ……………………………………………… end if; end if; end process; end rtl; 圖16 數(shù)字仿真結(jié)果 圖16給出數(shù)字仿真結(jié)果示例。從波形可以看出,在信號增大期間,脈沖寬度是逐漸增大的,但又不同于對模擬信號直接進(jìn)行調(diào)制的PWM波形,它在逐漸增大的過程中又有微小的波動(dòng)。這也正驗(yàn)證了多級噪聲整形的效果。即是把噪聲從低頻段趕到高頻段,從圖上看就是通過加入高頻的波動(dòng)來提高低頻
30、的信噪比。 (五)、功放級電路設(shè)計(jì)與仿真 一、功放級電路原理 功放級電路主要由我們自行設(shè)計(jì)的D類功放專用全橋驅(qū)動(dòng)電路和平衡橋電路組成。全橋驅(qū)動(dòng)電路采用了先進(jìn)的窄脈沖和死區(qū)技術(shù),具有過/欠壓等智能保護(hù)功能,最大程度的降低了自身功耗,保證了系統(tǒng)的穩(wěn)定性;平衡橋電路考慮了系統(tǒng)浪涌保護(hù)及橋臂間延遲時(shí)間匹配。 1、 全橋驅(qū)動(dòng)電路 我們設(shè)計(jì)的全橋驅(qū)動(dòng)電路的電路結(jié)構(gòu)可分為兩個(gè)部分:一部分為驅(qū)動(dòng)電路部分;另一部分為控制與保護(hù)電路部分。根據(jù)電路內(nèi)部各部分在功能上的差別與聯(lián)系,我們可以把該電路大體分成十六級單元子電路。其中主要的子電路有:輸入電路(INPUT CIRCUIT)、死區(qū)產(chǎn)生電路
31、(DEADTIME GENERATOR CIRCUIT)、脈沖發(fā)生電路(PULSE GENERRATOR CIRCUIT)、電平位移電路(SHIFTER CIRCUIT) 、脈沖合成電路(PULSE FUSION CIRCUIT)等。 我們在該驅(qū)動(dòng)電路的IC研制中,A、提出了新型電導(dǎo)調(diào)制功率器件的非準(zhǔn)靜態(tài)輸運(yùn)模型,對具有抽出結(jié)構(gòu)電導(dǎo)調(diào)制功率器件的瞬態(tài)輸運(yùn)過程進(jìn)行了理論分析和實(shí)驗(yàn)研究;B、提出了一種新的亞微米級局域壽命控制技術(shù)(低能He注入壽命控制技術(shù)),用于提高橫向電導(dǎo)調(diào)制功率器件的開關(guān)速度。該技術(shù)有兩方面的作用:一是在漂移區(qū)中引入局域短壽命區(qū),通過優(yōu)化設(shè)計(jì)使得VF~TOFF折衷關(guān)系最優(yōu)。其
32、版圖如圖17所示: 圖17 全橋驅(qū)動(dòng)芯片版圖 圖19 脈沖發(fā)生器產(chǎn)生的下降波形 圖20 高端輸出的驅(qū)動(dòng)波形 圖21 比較器輸出的波形 圖18 脈沖發(fā)生器產(chǎn)生的上升波形 *驅(qū)動(dòng)電路實(shí)際測試波形 圖22 高端輸出上升沿延遲 圖23 高端輸出下降沿延遲 二、功放模塊具體參數(shù) 參數(shù) 單位 最小值 典型值 最大值 工作電壓 V 8 15 20 高端電壓 V 8 60 100 上升沿延遲 ns 110 下降沿延遲 ns 80 橋間延遲匹配 ns 80 輸出峰值電流 A
33、2 靜態(tài)工作電流 A 0.5 輸入信號高電平 V 3 5 20 輸入信號低電平 V 0 0 3 功耗 W 0.5 0.8 1.5 工作頻率 Hz 300k 500k 2、 平衡橋電路 在平衡橋電路設(shè)計(jì)中,我們選擇了與驅(qū)動(dòng)電路相匹配的功率VDMOS器件構(gòu)成橋體,并對以下幾個(gè)方面的問題作了考慮。 A、系統(tǒng)浪涌保護(hù) B、續(xù)流保護(hù) C、橋臂間時(shí)間延遲匹配 三、功放級電路仿真結(jié)果 1、 整體功能仿真 圖24 如圖24所示:輸入是頻率為500kHz標(biāo)準(zhǔn)TTL信號,輸出為頻率相同、0~60V的方波信號,電路較好地實(shí)現(xiàn)了放大功能
34、。 圖25 上升沿延遲 圖26 下降沿延遲 從圖25、26可知,電路上升、下降延遲均在40ns左右,由于仿真無法考慮一些實(shí)際電路中的分布參數(shù),故與實(shí)際值存在一定的差異。 2、 輸入電路(INPUT CIRCUIT)仿真 圖27 如圖27所示,輸入是0~8V,500KHz的方波信號,經(jīng)輸入電路轉(zhuǎn)化成同頻率0~15的方波信號,由此看出本級子電路的主體功能為初級電平轉(zhuǎn)移。 3、 死區(qū)產(chǎn)生電路(DEADTIME GENERATOR CIRCUIT) 圖28 如圖28所示,V(12
35、)、V(13)分別為高端和低端的輸入信號,兩路信號彼此之間反相。后級的平衡橋電路中高/低端功率器件正常工作時(shí)電流很大,在開關(guān)過程中,只要有一小段共同導(dǎo)通時(shí)間均會產(chǎn)生嚴(yán)重的后果,為了避免高低端功率器件出現(xiàn)同時(shí)導(dǎo)通而發(fā)生燒毀,我們引入了死區(qū)概念,對兩路輸入信號作了死區(qū)處理,死區(qū)時(shí)間約為100ns。 4、脈沖發(fā)生電路(PULSE GENERRATOR CIRCUIT) 圖29 為降低系統(tǒng)功耗,本電路采用了先進(jìn)的窄脈沖技術(shù),如圖29所示,輸入信號V(2)經(jīng)過窄脈沖產(chǎn)生電路后被轉(zhuǎn)化為兩脈沖寬度約100ns的兩路窄脈沖信號,一路是上升沿窄脈沖V(3),另一路是下降沿窄脈沖V(4)。在后級電路
36、的工作過程中,均是以此窄脈沖信號作為運(yùn)算和處理信號,直至復(fù)合驅(qū)動(dòng)功率器件。 5、 脈沖合成電路(PULSE FUSION CIRCUIT) 圖30 如圖30所示,本子電路的主要功能是將經(jīng)運(yùn)算和處理的窄脈沖信號復(fù)合成原輸入信號以驅(qū)動(dòng)功率器件。圖中的V(4)、V(5)分別為上升沿和下降沿窄脈沖,V(6)為合成后的方波信號。 6、 死區(qū)對電路系統(tǒng)頻響特性的影響 為提高系統(tǒng)工作的穩(wěn)定性,我們在電路設(shè)計(jì)中采用了死區(qū)產(chǎn)生電路,以保障功率器件的正常工作。而死區(qū)的設(shè)計(jì)不可避免的會對原信號產(chǎn)生一定的失真,為此,我們特別做了死區(qū)電路對系統(tǒng)頻響特性影響的仿真。如圖31、32、33、34所示:
37、 圖31 無死區(qū)時(shí)PWM產(chǎn)生信號 圖32 50ns死區(qū)時(shí)PWM信號 圖33 無死區(qū)時(shí)系統(tǒng)頻譜圖 圖34 50ns死區(qū)時(shí)系統(tǒng)頻譜圖 由以上四圖分析可知,雖然死區(qū)電路會產(chǎn)生失真,但較短時(shí)間的死區(qū)(如50ns)不
38、會對系統(tǒng)頻響特性產(chǎn)生較大影響,也不會產(chǎn)生相應(yīng)的高頻諧波分量。因此,綜合考慮,我們在電路設(shè)計(jì)中將采用此技術(shù)。 (六)、輸出濾波網(wǎng)絡(luò)設(shè)計(jì)與仿真 本級電路為數(shù)字功放的輸出級,即是對功放輸出的信號進(jìn)行解調(diào)的濾波器部分。 關(guān)于輸出濾波網(wǎng)絡(luò)的頻幅特性, 相移等具體參數(shù)分析在技術(shù)報(bào)告中給出。 一、電路要求與仿真要求 輸入Vin1,Vin2為兩個(gè)反相的PWM波,兩個(gè)PWM波周期T相等,頻率均為f0=44,116k,調(diào)制度m=0.5,Vmax=60v,Vmin=0v。輸出V0ut1,Vout2為解調(diào)PWM波而得到的音頻波形,此即為本電路的功
39、能。要求輸出的波的頻帶范圍為20~20kHz,︱H(jωc)︱>0.9,︱H[j(6ωc)]︱<0.01。(其中ωc=2πf0) 二、電路的原理 此電路模型為無源的考爾Ⅰ型濾波器,運(yùn)用的濾波方式為巴特沃斯低通與切貝雪夫低通,都能得到較好的頻譜特性、噪聲特性及容差特性。 三、仿真 我們對自行設(shè)計(jì)的濾波電路(四階巴特沃斯濾波器、四階切貝雪夫?yàn)V波器、五階巴特 沃斯濾波器、六階巴特沃斯濾波器)進(jìn)行頻譜分析與相移分析,分析結(jié)果如下: 圖35 四階巴特沃斯濾波器相移與頻譜圖 圖36 四階切貝雪夫?yàn)V波器頻譜與相移圖 圖37 五階巴特沃斯頻譜
40、與相移圖 圖38 六階巴特沃斯頻譜與相移圖 原方案濾波電路分析的頻譜、相移如圖39: 圖39 原方案濾波器頻譜與相移圖 比較上面五種濾波器方案,從頻譜、相移來看,以圖35頻譜相移效果最好,現(xiàn)就對圖35的濾波器進(jìn)行噪聲分析、容差分析,進(jìn)而又對其通頻帶內(nèi)10kHz、20kHz的音頻波進(jìn)行PWM解調(diào)濾波,具體分析結(jié)果如下: 1、 噪聲分析與容差分析: 圖 40 噪聲分析結(jié)果 從圖40可以看出,本濾波器噪聲對濾波影響很小,為10-19 數(shù)量級。 圖 41 濾波器電容容差分析結(jié)果
41、 圖41給出了濾波器的電容容差為15%時(shí)進(jìn)行的蒙特卡羅分析(Monte Carlo)的容差結(jié)果,五條曲線反應(yīng)了電容的一個(gè)標(biāo)稱值與四個(gè)隨機(jī)樣值的頻譜特性。電容容差分析結(jié)果滿足我們要求。 圖 42 濾波器的電感容差分析結(jié)果 圖42反映了電感的容差在20%內(nèi)變化時(shí)的分析結(jié)果,跳變最大的曲線即為最壞情況的頻響特性。 2、10kHz、20kHz 濾波分析: 圖43 攜帶10khz音頻波的PWM波經(jīng)濾波后的波形 圖44 攜帶20khz的PWM波經(jīng)濾波后的圖形 圖43、44反映了濾波的真實(shí)情況,在
42、開始一段時(shí)間(圖上示值小于100us)內(nèi),濾波網(wǎng)絡(luò)吸收能量使波形失真,這是正常的。 總體來說,四階巴特沃斯濾波器完全可以滿足要求。 (七)、 電源設(shè)計(jì)與仿真 一、電源穩(wěn)態(tài)特性仿真分析 1、 本電源部分參數(shù)如下: 參數(shù) 單位 最小值 典型值 最大值 源電壓范圍 V 160 220 280 輸入頻率范圍 HZ 47 50 63 工作溫度 ℃ -10 27 60 抗電強(qiáng)度 KV 1.5 紋波噪聲輸出 % 0.5 1 存儲溫度 ℃
43、-10 27 85 電源效率 % 80 2、電源的源電壓效應(yīng)仿真結(jié)果 本電源的源電壓允許波動(dòng)范圍為28%,即輸入電壓在160V~280V的范圍內(nèi)。下面,我們分別給出了電源在輸入電壓極值點(diǎn)的仿真輸出波形及其特征。 ① 輸入為160V時(shí)的仿真結(jié)果 圖45 輸入電壓為160V 時(shí)的輸出波形 如圖45所示,輸入電壓為160V時(shí)的輸出電壓為5.0V,輸出相對紋波電壓約為27.829mV,紋波系數(shù)約為0.51%。 ② 輸入為280V時(shí)的仿真結(jié)果 圖46 輸入為280V時(shí)的輸出波形 如圖46所示,電源在輸入為280V時(shí),輸出電壓升
44、高為5.3V左右,輸出紋波系數(shù)也發(fā)生了變化,約為 0.78%。 3、電源負(fù)載效應(yīng)仿真結(jié)果 圖47 輸入電壓為220V,負(fù)載量為1A時(shí)的輸出波形 圖47給出了電源負(fù)載為1A時(shí)的電壓輸出結(jié)果,此時(shí)的電壓輸出5.014V ,紋波電壓19.577mv,紋波含量為0.39%,較小,電源的負(fù)載效應(yīng)比較穩(wěn)定。 4、電源穩(wěn)態(tài)分析數(shù)據(jù)表 輸入電壓(V) 輸出電壓(V) 輸入電壓調(diào)整率 ( % ) 輸出電壓變化率 (%) 負(fù)載量(OHM) 負(fù)載調(diào)整率(%) 輸出紋波系數(shù) (%) 220 5.014 0 0 5 0 0.39
45、 200 5.112 -9 1.91 5 0 0.54 180 5.198 -18 3.67 5 0 0.53 160 5.273 -27 5.16 5 0 0.66 240 5.252 9 4.75 5 0 0.59 260 5.291 18 5.52 5 0 0.55 280 5.257 27 4.85 5 0 0.51 220 5.044 0 0.6 50 -900 0.35 220 5.057 0 0.86 10 -100 0.46 220 5.251 0 4.73
46、7 -40 0.55 220 5.323 0 6.16 2.5 50 0.61 220 5.372 0 6.24 1 80 0.78 表中數(shù)據(jù)顯示:當(dāng)負(fù)載固定,源電壓發(fā)生變化時(shí),輸出電壓也伴隨有不同程度的變化;當(dāng)源電壓固定,負(fù)載發(fā)生變化時(shí)輸出也發(fā)生變化,但總體上變化不大,基本上都穩(wěn)定在5.2V左右,不影響電路的整體應(yīng)用。 二、本電源動(dòng)態(tài)特性仿真分析 1、源電壓階躍情況分析結(jié)果 圖48 輸出電壓階躍瞬態(tài)特性(源電壓從220V躍變到160V) 圖49 輸出階躍瞬態(tài)特性(源電壓從160V躍變到28
47、0V) 如圖48、49所示,分別給出了源電壓發(fā)生躍變時(shí)的輸出電壓波形,源電壓的躍變對電源的輸出電壓影響不大,在2ms 內(nèi)都能恢復(fù)到穩(wěn)定的輸出。 2、負(fù)載階躍情況分析結(jié)果 圖50 輸出階躍瞬態(tài)特性(負(fù)載從0A躍變到1.0A) 如圖50所示,隨著電源負(fù)載量的增大,電源輸出的電壓略有升高,紋波系數(shù)較大,約為0.78%。電壓調(diào)節(jié)的時(shí)間較短,上升時(shí)間約為0.5ms,下降時(shí)間約為0.5ms 圖51 輸出階躍瞬態(tài)特性(負(fù)載量從1A躍變到0A) 如圖51 所示,當(dāng)負(fù)載從1A躍變到0A時(shí),輸出紋波電壓較小,紋波系數(shù)約為0.35%,輸出電壓
48、比較穩(wěn)定,基本處在額定電壓范圍。電壓的恢復(fù)時(shí)間較長,上升時(shí)間約為0.7ms,下降時(shí)間約為7.3ms。 三、電源電磁兼容性能指標(biāo) 1、電源瞬態(tài)敏感度 ① 輸入電壓大于穩(wěn)態(tài)輸入電壓的10%~30%時(shí)的情況分析 圖52 電壓瞬態(tài)敏感度分析結(jié)果(輸入電壓+10%~ +30%) ② 輸入電壓小于穩(wěn)態(tài)輸入電壓的10%~30%時(shí)的情況分析 圖53 電壓瞬態(tài)敏感度分析結(jié)果(輸入電壓-10%~-30%) 從圖52、圖53可以看到:電源對源電壓的變化敏感度較好,均能在1ms內(nèi)做出反應(yīng),很快恢復(fù)到穩(wěn)定輸出。 2、浪涌敏感度 圖54 電源敏感度分析結(jié)果 從圖54可以看出:本電源的浪涌敏感度高,對輸入的浪涌能做很快的調(diào)整,對整個(gè)電源影響小。
- 溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- there-to-be-和there-being
- 《計(jì)算機(jī)應(yīng)用基礎(chǔ)教程》第9課:Excel數(shù)據(jù)運(yùn)算與分析
- 銷售人員培訓(xùn)(建議)
- 高層建筑的工程風(fēng)險(xiǎn)簡析及案例
- 第二課時(shí)常見的酸
- 加工中心維護(hù)與保養(yǎng)
- 2013課用3表意不明不合邏輯
- 《美容院運(yùn)營模式》PPT課件
- 妊娠和系統(tǒng)性紅斑狼瘡ppt課件
- 耦合電感的串聯(lián)與并聯(lián)
- 珠寶四大類行業(yè)介紹
- 合同能源管理培訓(xùn)資料
- 工程公司檔案管理培訓(xùn)20138
- 高一家長會課件PPT
- 教育精品:課題2如何正確書寫化學(xué)方程式