影音先锋男人资源在线观看,精品国产日韩亚洲一区91,中文字幕日韩国产,2018av男人天堂,青青伊人精品,久久久久久久综合日本亚洲,国产日韩欧美一区二区三区在线

基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)

上傳人:文*** 文檔編號(hào):63376727 上傳時(shí)間:2022-03-18 格式:DOC 頁數(shù):36 大小:1.58MB
收藏 版權(quán)申訴 舉報(bào) 下載
基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)_第1頁
第1頁 / 共36頁
基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)_第2頁
第2頁 / 共36頁
基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)_第3頁
第3頁 / 共36頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于FPGA的高精度測(cè)距系統(tǒng)設(shè)計(jì)通信技術(shù)專業(yè)(36頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 摘 要 隨著的發(fā)展人們的生活質(zhì)量越來越高,許多事物都追求方便、高效,測(cè)距在計(jì)算機(jī)、機(jī)器人等工業(yè)化的發(fā)展中都應(yīng)用廣泛。因?yàn)槌暡ㄊ且环N縱向機(jī)械波所以是直線傳播并且符合反射和折射的特性。超聲波傳感器結(jié)構(gòu)簡(jiǎn)單、體積小、經(jīng)濟(jì)并且方便集成化。利用超聲波以一定速度定向傳播等特性設(shè)計(jì)的超聲波測(cè)距主要應(yīng)用在建筑施工現(xiàn)場(chǎng)測(cè)量、倒車提醒等防撞系統(tǒng)、移動(dòng)機(jī)器人定位等。超聲波測(cè)距具有可靠性、精度可控、應(yīng)用廣、高效等優(yōu)點(diǎn)。 FPGA是現(xiàn)場(chǎng)可編程門陣列,I/O口多、能夠并行運(yùn)算、集成度高。常用的門電路或者是復(fù)雜組合邏輯電路都能用簡(jiǎn)單的程序?qū)崿F(xiàn)。利用FPGA能簡(jiǎn)便控制時(shí)序的特點(diǎn)本文對(duì)超聲波的特性設(shè)計(jì)了基于F

2、PGA的測(cè)距系統(tǒng),通過FPGA中的全局的時(shí)鐘分頻信號(hào)處理對(duì)超聲波信號(hào)的收發(fā)有準(zhǔn)確的控制。在一定的工作環(huán)境下,通過測(cè)量超聲波往返時(shí)間已知超聲波的傳播速度就能計(jì)算出來與目標(biāo)物的距離。 關(guān)鍵詞:超聲波測(cè)距;FPGA;分頻 Abstract With the development of people's quality of life is getting higher and higher, many things are pursuing convenience and efficiency, ranging is widely used in the developm

3、ent of computer, robot and other industrialization. Because ultrasound is a longitudinal mechanical wave, it propagates in a straight line and conforms to the characteristics of reflection and refraction. The ultrasonic sensor is simple in structure, small in size, economical and easy to integrate.

4、Ultrasound ranging, which is designed with the characteristics of directional propagation of ultrasound at a certain speed, is mainly used in anti-collision systems such as building construction site measurement, reverse warning, mobile robot positioning and so on. Ultrasound ranging has the advanta

5、ges of reliability, controllable accuracy, wide application and high efficiency.. FPGA is a field programmable gate array with many I/O ports, parallel operation and high integration., parallel computing, and high integration. Commonly used gate circuits or complex combinational logic circuits can

6、be implemented with simple programs.This paper designs a distance measurement system based on FPGA, which can control the time sequence conveniently by using the characteristics of FPGA. It can control the sending and receiving of the ultrasonic signal accurately through the global clock frequency d

7、ivision signal processing in the FPGA., the distance from the target can be calculated by measuring the time of ultrasonic round trip and the speed of ultrasonic propagation. Keywords: Ultrasonic ranging, FPGA,F(xiàn)requency division 目 錄 摘 要 Abstract 第1章 緒論 1 1.1研究目的與意義 1 1.2國(guó)內(nèi)外研究現(xiàn)狀 1 1.2.1

8、無損檢測(cè)技術(shù) 1 1.2.2 定位和避障 2 1.2.3回波處理方法 2 1.2.3超聲波發(fā)射脈沖 3 第2章 超聲波原理和超聲波傳感器 3 2.1超聲波原理 3 2.2超聲波傳感器 5 第3章 FPGA系統(tǒng)設(shè)計(jì) 7 3.1 FPGA簡(jiǎn)介 7 3.2 FPGA工作原理和特點(diǎn) 8 第4章 VHDL軟件系統(tǒng)設(shè)計(jì) 8 4.1驅(qū)動(dòng)超聲波模塊 9 4.2 分頻 9 4.3 七段數(shù)碼管顯示 10 4.4 超聲波測(cè)距結(jié)果 12 第5章 總結(jié)與展望 13 5.1 總結(jié) 13 5.2 展望 13 致 謝 15 第1章 緒論 1.1研究目的與

9、意義 科技的發(fā)展使得我們的生活智能化自動(dòng)化工業(yè)水平也逐漸提高,也有越來的越多需要用到測(cè)距的地方。電子測(cè)量的技術(shù)也越來越多樣越來越精確,非接觸測(cè)距系統(tǒng)在當(dāng)代以及被應(yīng)用到各個(gè)領(lǐng)域當(dāng)中,非接觸測(cè)距是不與目標(biāo)物表面接觸的情況下,用電磁或者光電進(jìn)行測(cè)量距離的一種方法。與傳統(tǒng)的測(cè)量方式相比操作更加方便、測(cè)量的距離范圍越大、安全系數(shù)越高、測(cè)量的數(shù)據(jù)更加準(zhǔn)確。 利用超聲波測(cè)距的技術(shù)在作業(yè)的過程中不會(huì)產(chǎn)生物理接觸。而且現(xiàn)在生產(chǎn)力不斷提高,超聲波傳感器不需要花費(fèi)太多的成本即可購得,這也導(dǎo)致了其在各個(gè)工業(yè)方面需求也越來越大,例如建造房屋橋梁和道路、測(cè)繪地形圖、開挖礦山、交通等方面的防撞裝置以及機(jī)械內(nèi)部的檢測(cè)。

10、 本次研究主要是利用超聲波在一定環(huán)境下直線傳播超聲波還具有不受光、電磁波以及粉塵干擾的特性,它的傳播方式是直線式的,同時(shí)其傳播所需要耗費(fèi)的能量極少,因?yàn)槟軌騻鞑サ木嚯x也比較長(zhǎng),通常來說其對(duì)物體不存在太大影響或是損害。而且超聲波測(cè)距的計(jì)算處理比較簡(jiǎn)單迅速,系統(tǒng)設(shè)計(jì)比較實(shí)用,傳感器價(jià)格低廉,能夠?qū)崟r(shí)控制。因此超聲波測(cè)距是個(gè)很好的選擇。 1.2國(guó)內(nèi)外研究現(xiàn)狀 對(duì)超聲波的研究有足夠關(guān)注是源于1912年游艇碰撞冰山沉沒后造成了巨大的損失,為此科學(xué)家提出為了預(yù)測(cè)冰山進(jìn)行的研究。近年超聲波的研究也越來越深入。我國(guó)對(duì)超聲波的研究大約是在1956年,并深入了各個(gè)領(lǐng)域有部分項(xiàng)目的水平能達(dá)到國(guó)際標(biāo)準(zhǔn)。 國(guó)內(nèi)外

11、的科研人員對(duì)超聲波測(cè)距系統(tǒng)進(jìn)行了研究,一方面是對(duì)提高超聲波測(cè)距的精度對(duì)回波的算法和溫度影響的誤差的研究另一方面是對(duì)超聲波傳感器的研究,還有超聲波發(fā)射的脈沖的種類。使系統(tǒng)計(jì)算更加快速簡(jiǎn)單、測(cè)量結(jié)果更加精確范圍越大等等都是科研人員一直研究的方向。 1.2.1 無損檢測(cè)技術(shù) 超聲波因?yàn)槠湓趥鞑ミ^程中沒有物理接觸,因此被開發(fā)用來進(jìn)行無損檢測(cè),這種檢測(cè)方式擁有極佳的便利性,因?yàn)檫@種便利性也導(dǎo)致極大的經(jīng)濟(jì)效益。應(yīng)用在國(guó)防、宇航、原子能產(chǎn)品中能能夠使產(chǎn)品增值。德國(guó)的奔馳公司和日本的小汽車生產(chǎn)在經(jīng)過無損檢測(cè)后的質(zhì)量都有很大的提升,這種新型的檢測(cè)技術(shù)現(xiàn)在已經(jīng)逐漸在全球范圍內(nèi)開始普及。對(duì)于提高產(chǎn)品質(zhì)量、對(duì)產(chǎn)品

12、的加工制造,檢驗(yàn)成品和設(shè)備壽命等方面,美國(guó)前總統(tǒng)里根曾表明:“若是在美國(guó)過去的發(fā)展進(jìn)程當(dāng)中少了這樣優(yōu)秀的檢測(cè)手段,那么現(xiàn)在在全球范圍各個(gè)領(lǐng)域內(nèi)就不會(huì)處于領(lǐng)頭羊的地位”。德國(guó)的科學(xué)家也將無損檢測(cè)技術(shù)當(dāng)做了機(jī)械產(chǎn)業(yè)的支柱之一。每年國(guó)內(nèi)外都會(huì)出版大量無損檢測(cè)的書籍、文獻(xiàn),其中超聲波檢測(cè)占據(jù)大部分。在信息化為主流的時(shí)代仍需要計(jì)算機(jī)控制的產(chǎn)品也要通過對(duì)產(chǎn)品實(shí)時(shí)的監(jiān)控和定位都需要這項(xiàng)技術(shù),這說明超聲波檢測(cè)技術(shù)在當(dāng)前的機(jī)械化制造中還是未來的數(shù)字化、智能化的信息時(shí)代都有研究熱點(diǎn)。 1.2.2 定位和避障 在軍事上無人機(jī)是一個(gè)非常依賴測(cè)距技術(shù)反饋的信息保障飛行的順利或是對(duì)目標(biāo)物信息的掌握。測(cè)距為避障的基礎(chǔ),

13、有很多測(cè)距的技術(shù)包括無線射頻、超聲波、紅外線以及激光雷達(dá)等。因?yàn)檫@些技術(shù)不同的特性和成本的差異也決定了它應(yīng)用在不同的部分。其中MB1043為超聲波避障傳感器,因?yàn)槌暡y(cè)距技術(shù)比較成熟,但它的測(cè)距距離比較短,而且對(duì)目標(biāo)物的反射面有一定的要求所以在無人機(jī)中超聲波測(cè)距主要應(yīng)用在測(cè)量無人機(jī)與地面的距離。 生活中超聲波測(cè)距廣泛的應(yīng)用到汽車的倒車?yán)走_(dá)上。以及出現(xiàn)的ACC自適應(yīng)巡航系統(tǒng),這種智能化的控制系統(tǒng)這兩年國(guó)內(nèi)外研究無人駕駛汽車的熱點(diǎn)。個(gè)系統(tǒng)相比傳統(tǒng)定位,它能及時(shí)反饋前車及周圍車距并及時(shí)調(diào)整。 1.2.3回波處理方法 回波處理方法決定了測(cè)量回波的定位從而影響了測(cè)距的精度。超聲波回波的處理方法也

14、在不斷的完善當(dāng)中。國(guó)內(nèi)學(xué)者童峰曾經(jīng)在其研究成果中提出過一種能夠在一定程度上將換能器的理論上和實(shí)際上相差的頻率特性大致估算出來的算法,其名為最小軍方自適應(yīng)時(shí)延算法,通過此種算法能夠排除在信號(hào)輸出的過程由于信道所產(chǎn)生的干擾。國(guó)內(nèi)學(xué)者楊一春等人也曾經(jīng)以調(diào)頻變換和相關(guān)峰細(xì)化等原理為基礎(chǔ)提出過一種能夠快速而精準(zhǔn)的估測(cè)時(shí)延的算法。此種算法若是能夠被應(yīng)用的話,則必定能夠大大的降低時(shí)延誤差。程曉暢等提出這季節(jié)提取相關(guān)函數(shù)保羅和保羅峰細(xì)化的算法,關(guān)于超聲波換能器的特性對(duì)M序列參數(shù)進(jìn)行改進(jìn)。還提出及與FFT的偽隨機(jī)碼包絡(luò)相關(guān)快速時(shí)延的算法。這些算法與之前的相比減少了很多的計(jì)算量。國(guó)內(nèi)學(xué)者對(duì)回波信號(hào)的處理進(jìn)行了諸多

15、研究且都有了各自不同的研究成果,這些不斷完善的理論以及技術(shù)使得測(cè)距技術(shù)越發(fā)的精準(zhǔn),越發(fā)的可靠。 1.2.3超聲波發(fā)射脈沖 理論上來講使用單脈沖的超聲波來進(jìn)行測(cè)距是完全沒有任何問題的,但是一個(gè)脈沖的時(shí)間太短會(huì)隨時(shí)間消耗使得測(cè)量的距離降低,根據(jù)超聲波的特性降低頻率時(shí)可以增加測(cè)量的范圍同時(shí)測(cè)量的精度降低。為了解決這個(gè)問題程曉暢提出超聲波信號(hào)發(fā)射為選用偽隨機(jī)二進(jìn)制序列,回波處理后獲得窄脈沖。杜曉提出用兩種超聲波同時(shí)測(cè)距的雙頻超聲測(cè)距方法,也能獲得窄脈沖。選擇合理的超聲波發(fā)射脈沖對(duì)超聲波測(cè)距系統(tǒng)的測(cè)量范圍和測(cè)量誤差上都有顯著的效果,但以上的方法還不能解決測(cè)量高精度的問題。 研究?jī)?nèi)容與論文結(jié)構(gòu)

16、 第1章的主要內(nèi)容是對(duì)進(jìn)行這項(xiàng)研究的目的以及這項(xiàng)研究具備的現(xiàn)實(shí)意義進(jìn)行詳細(xì)的介紹,除此之外還有國(guó)內(nèi)外在此項(xiàng)技術(shù)上所應(yīng)用的一些改進(jìn)的操作以及他們提出的完善理論。 第2章敘述了此項(xiàng)技術(shù)的實(shí)現(xiàn)所依賴的原理當(dāng)前所使用的超聲傳感器的內(nèi)部結(jié)構(gòu)。 第3章對(duì)FPGA實(shí)現(xiàn)的理論基礎(chǔ)以及其內(nèi)部結(jié)構(gòu)進(jìn)行了詳實(shí)的敘述。 第4章的主要內(nèi)容是VHDL在測(cè)距中的使用。 第5章是總結(jié)性的章節(jié),最前文中所探討的內(nèi)容進(jìn)行了總結(jié),對(duì)技術(shù)未來的發(fā)展前景進(jìn)行了展望。 第2章 超聲波原理和超聲波傳感器 這里主要探究的是超聲波作為一種波在傳播的過程中所具備的各種特征,以及在測(cè)距技術(shù)中所進(jìn)行應(yīng)用應(yīng)該遵循的原理。 2.1超聲

17、波原理 聲音是由機(jī)械振動(dòng)產(chǎn)生的,并通過介質(zhì)傳遞能量。超聲波是一種物體振動(dòng)時(shí)產(chǎn)生的聲波單位是HZ。聲波頻率在20HZ到20000HZ之間是我們能夠聽到的范圍,當(dāng)聲波范圍在大于20000HZ時(shí)就可以叫這種人們聽不見的波叫超聲波。超聲波這種機(jī)械波在傳播的時(shí)候需要依賴介質(zhì),介質(zhì)可以使三種狀態(tài)的任何一種物質(zhì),其傳播速度會(huì)受到介質(zhì)密實(shí)程度的影響,在沒有介質(zhì)存在的真空中,其傳播速度為0,也就是不能在真空中傳播。在超聲波傳播過程中遇到不同介質(zhì)可能會(huì)有不同的波形形態(tài)。因?yàn)樗强v向的機(jī)械波所以它是直線傳播的這些波可以聚焦并服從了反射和折射定律。超聲波的周期是捕捉一個(gè)周期的時(shí)間,從一個(gè)周期到下一個(gè)周期的開始的時(shí)間

18、。周期的單位為時(shí)間,回波中典型值為0.1~0.5微秒。它的周期是由聲源決定的。 三種波形: (1) 縱波波形; (2) 橫波波形; (3) 表面波波形; 超聲波在傳播的過程中,若是其傳播所依賴的介質(zhì)發(fā)生改變的話,那么超聲波也會(huì)緊接著產(chǎn)生折射或者反射的情況,這體現(xiàn)了波的特性。同時(shí)傳播是需要能量的,波在傳播的過程中雖然速度不變,但是其所蘊(yùn)含的能量會(huì)不斷的衰減,體現(xiàn)在數(shù)據(jù)上就是其頻率越來越低,波長(zhǎng)越來越長(zhǎng)。若是傳播介質(zhì)是均勻物質(zhì)的話,則其傳播路徑是一條直線。當(dāng)介質(zhì)是液態(tài)的時(shí)候,超聲波能夠使微粒間互相作用,讓介質(zhì)提高溫度起到很好的攪拌作用,這種效應(yīng)叫做空化作用。本次研究利用了超聲波在空氣中傳

19、播遇到固體障礙物發(fā)生反射的原理應(yīng)用到測(cè)距當(dāng)中。當(dāng)然超聲波在空氣中的傳播速度也受到溫度的影響。 圖2.1.1 超聲波原理 受到空氣溫度的影響不同溫度超聲波的傳播速度也不同 超聲波在空氣中的傳播速度與溫度的關(guān)系是: v=331.5+0.6t (m/s) 溫度(℃) -20 -10 0 10 20 100 速度(m/s) 319 325 323 338 344 386 圖2.1.2 在空氣中超聲波傳播的速度和溫度的關(guān)系 2.2超聲波傳感器 超聲波之所以能被用來進(jìn)行測(cè)距工作是因?yàn)槠湓趥鞑サ倪^程中若是遇到障礙物則會(huì)發(fā)生反射,而其速度又是已知的,只要測(cè)出其

20、在發(fā)出以后到接收到反射回來的波所經(jīng)歷的這一段時(shí)間就能夠計(jì)算出傳感器和障礙物之間的距離。這種測(cè)距方式不會(huì)對(duì)目標(biāo)物造成損害而且與目標(biāo)物不接觸所以能極大改善了傳統(tǒng)測(cè)距方式的局限性和不便性。在傳感器中,通常利用壓電晶片來發(fā)射或者接收超聲波,這是其中一個(gè)重要的組成元件。探頭根據(jù)結(jié)構(gòu)的不同可以分為直探頭和斜探頭兩種,其中前者的波屬于縱向波,后者的波屬于橫波,此外,還有表面波探頭、蘭姆波探頭、雙探頭等。通常不同的探頭內(nèi)部的壓電晶片所使用的材料也不一致。此種傳感器有幾項(xiàng)重要的特性如下:(2)工作頻率,通常壓電晶片的共振頻率越高,則其工作頻率越高。(2)溫度:當(dāng)傳感器工作的時(shí)候探頭使用的功率比較小所以長(zhǎng)時(shí)間工作

21、不會(huì)受到影響。(3)靈敏度:由壓電晶片決定。想要靈敏度變高就是要增加機(jī)電耦合系數(shù)。 本次設(shè)計(jì)使用的是HC-SR04,它性能穩(wěn)定,小巧方便,基本滿足了本次設(shè)計(jì)的要求。除此之外還有SRF05、SRF02。 圖2.2.1 HC-SR04正面 圖2.2.2 HC-SR04反面 圖 HC-RS04的內(nèi)部結(jié)構(gòu)圖 HC-SR04提供了非接觸式的測(cè)距功能,它大致的測(cè)距范圍為2cm-400cm,精度三毫米。其工作時(shí)做遵循的原理如下:首先,輸入輸出接口發(fā)出十微妙的觸發(fā)信號(hào),然后模塊開始工作,發(fā)射出八個(gè)頻率為四十千赫茲的方波,接下來檢測(cè)有沒有回波信號(hào)的輸入,如果有的話,就以EC

22、HO函數(shù)發(fā)出一個(gè)高電平,其持續(xù)時(shí)間為在超聲波的一次測(cè)距過程中所經(jīng)歷的時(shí)間,再根據(jù)它在固定的介質(zhì)中不變的傳播速度我們就能計(jì)算出傳感器到障礙物的距離。 電氣參數(shù) HC-SR04 工作電壓 DC 5V 工作電流 15mA 工作頻率 40KHZ 最遠(yuǎn)射程 4m 最近射程 2cm 測(cè)量角度 15度 輸入觸發(fā)信號(hào) 10us的TTL脈沖 輸出回響信號(hào) 輸出TTL電平信號(hào),與射程成正比 規(guī)格尺寸 45*20*15mm 圖2.2.3 HC-SR04的電氣參數(shù) 圖2.2.4 HC-SR04原理時(shí)序圖 一個(gè)短的超聲波脈沖在0時(shí)刻被一個(gè)物體反射。傳感器接收到

23、這個(gè)信號(hào)并將這個(gè)信號(hào)轉(zhuǎn)換成電信號(hào)。當(dāng)回波信號(hào)消失時(shí),下一個(gè)脈沖可以被傳輸。從上圖中可以看出當(dāng)給trig輸入一個(gè)10us的觸發(fā)信號(hào),接下來傳感器就會(huì)生成一個(gè)脈沖信號(hào),其頻率為四十千赫茲,循環(huán)數(shù)量為八。當(dāng)傳感器發(fā)出的脈沖信號(hào)遇到障礙物的時(shí)候反射形成回波信號(hào)會(huì)被echo檢測(cè)到這是回波電平從1變?yōu)?定時(shí)器停止定時(shí)。從發(fā)射脈沖信號(hào)到檢測(cè)到回波信號(hào)的這一段時(shí)間就可以用來計(jì)算傳感器到障礙物超聲波傳輸?shù)臅r(shí)間。由此可以得到超聲波脈沖的傳輸時(shí)間又已知傳播速度就可得到我們想要獲得的傳感器與障礙物之間的距離。公式:(高電平持續(xù)時(shí)間/2)*超聲波傳播速度=傳感器到障礙物的距離 圖2.2.5 超聲波原理框圖

24、 第3章 FPGA系統(tǒng)設(shè)計(jì) 3.1 FPGA簡(jiǎn)介 這里主要對(duì)FPGA進(jìn)行一定的介紹。FPGA是以其他的一些可以進(jìn)行編程工作的期間為基礎(chǔ)所發(fā)展起來的一種綜合性的元件。全稱為現(xiàn)場(chǎng)可編程門陣列。若是在集成電路中使用它的話,可以將其作為半定制類型的電路來使用。這種器件和單片機(jī)相比較,他們?cè)谔幚硇畔⒌倪^程中所發(fā)出的用于控制用途的控制信號(hào)并不一樣,F(xiàn)PGA的工作方式是純硬件式的,在其中不涉及一些軟件方面的機(jī)制,而單片機(jī)不一樣,單片機(jī)系統(tǒng)本身在設(shè)計(jì)的過程中就像寫入一定的程序,而且兩者的運(yùn)行速度也并不一致,在單片機(jī)的內(nèi)部,工作的時(shí)候始終處于單線程的狀態(tài),所有的工作只能按照順序來進(jìn)行,當(dāng)前任務(wù)沒有完

25、成的時(shí)候,不能進(jìn)行下一步的工作,而FPGA內(nèi)部是允許多個(gè)任務(wù)共同進(jìn)行的,屬于并發(fā)式的工作方式,相對(duì)來說工作效率更高,運(yùn)行速度更快。 3.2 FPGA工作原理和特點(diǎn) 通常FPGA由邏輯單元陣列構(gòu)成,其內(nèi)部包含有諸多的邏輯模塊,比方說,IOB,CLB等等。此器件本身具備了變成的功能,相比起PAL,GAL等來說它的內(nèi)部結(jié)構(gòu)并不同,其本身所具備的一些特征如下: 1)在設(shè)計(jì)專用集成電路的時(shí)候可以直接使用FPGA來進(jìn)行設(shè)計(jì),在這個(gè)過程中,用戶可以不必經(jīng)過投片生產(chǎn)即可獲取具有足夠的適用性的芯片。 2)可以在其他的專用集成電路中起到中試樣片的作用。 3)其內(nèi)部結(jié)構(gòu)中含有許多輸入輸出引腳以及觸發(fā)

26、器。 4)在設(shè)計(jì)開發(fā)專用集成電路的所有方法中,F(xiàn)PGA屬于效率最高,成本最低的方法之一。 5)其中用到了CMOS的工藝,相對(duì)來說能量消耗低,和CMOS或者TTL的電平能夠擁有極佳的兼容性。 現(xiàn)在能夠生產(chǎn)FPGA的廠商有很多,比方說Altera、Actel等等,這里使用的是Altera實(shí)現(xiàn)的超聲波測(cè)距系統(tǒng)。 CPLD和FPGA兩種結(jié)構(gòu)進(jìn)行對(duì)比,前者更適用于對(duì)觸發(fā)器的需求比較高的結(jié)構(gòu),而后者更適用于對(duì)算法以及邏輯的需求比較高的結(jié)構(gòu)。前者在時(shí)序方面的邏輯增加縝密,后者因?yàn)槠浔旧矸植际降慕Y(jié)構(gòu)導(dǎo)致了其無法預(yù)測(cè)延時(shí)的大小。在編程方面,前者的靈活性更高,只需要在內(nèi)部更改布線的方式就可以完成各

27、種編程工作。 3.3 FPGA的結(jié)構(gòu) FPGA由兩個(gè)基本單元構(gòu)成,其一是查找表,在編譯代碼的時(shí)候用到,其二是寄存器,用于儲(chǔ)存功能。這里以Altera公司所研發(fā)出來的LE系列為例進(jìn)行說明,一個(gè)LE里面有一個(gè)4輸入的超招標(biāo),同時(shí)加上一個(gè)寄存器。也可以說是FPGA是基于SRAM結(jié)構(gòu)的,對(duì)FPGA的邏輯編程,相當(dāng)一部分就是對(duì)SRAM編程。 1、 可編程I/O I/O部分可以理解為芯片和其它電路連接的部分。當(dāng)FPGA需要和外部不同的器件相連接的時(shí)候需要搭配不同特性的器件標(biāo)準(zhǔn)包括阻抗特性,上下拉電阻還有驅(qū)動(dòng)電流。一些I/O標(biāo)準(zhǔn)要求vcc和vref電壓,這些電壓由外部提供,并連接到服務(wù)的

28、設(shè)備插腳上。對(duì)于在給定的區(qū)域內(nèi)合并I/O標(biāo)準(zhǔn)存在限制,參考電平引腳在不同的bank是獨(dú)立的。 圖3.3.1 FPGA的基本結(jié)構(gòu) 2、 可編程邏輯單元 FPGA是可編程邏輯器件,用于信號(hào)處理,邏輯聯(lián)合。FPGA只是一個(gè)芯片,外圍配套的電路要自己設(shè)計(jì),編程也比較復(fù)雜,做大系統(tǒng)的時(shí)候單靠FPGA是不可能的,涉及到各種各樣的通信,網(wǎng)絡(luò),還有大量的數(shù)字量,模擬量。 3、 嵌入式RAM 由于FPGA中有內(nèi)嵌的RAM這個(gè)隨機(jī)存儲(chǔ)器它能使得FPGA能夠利用這個(gè)RAM使用的范圍更大。RAM可以配位單雙端口、內(nèi)容地址存儲(chǔ)器還有FIFO。不同的芯片內(nèi)部的RAM數(shù)量也是不同的,多個(gè)RA

29、M能組成更大的RAM。 4、 布線資源 布線資源連接著FPGA所有的模塊它分為(1)全局性布線資源對(duì)整個(gè)器件的時(shí)鐘的控制管理。(2)長(zhǎng)線/短線資源一個(gè)是器件區(qū)域的信號(hào)和時(shí)鐘信號(hào)的布線一個(gè)是邏輯單元間的互連。(3)一些其他的信號(hào)控制線。在設(shè)計(jì)的時(shí)候布線器會(huì)對(duì)應(yīng)邏輯網(wǎng)表上的邏輯自動(dòng)的連接這些模塊。 5、 底層嵌入專用硬核 底層內(nèi)嵌模塊由DLL、PLL、DSP等組成。對(duì)于時(shí)鐘的高精度分頻和倍頻還有設(shè)置占空比移相等DLL可以完成。LatTice公司將PLL和DLL集成在一塊芯片上,它們由IP核管理配置。 圖3.3.2 DLL模塊 3.4 Cyclone Ⅳ EP4CE11

30、5開發(fā)板 本次設(shè)計(jì)使用的是Altera公司的EP4CE115型號(hào)的開發(fā)板,以FPGA為控制器結(jié)合收發(fā)一體的HC-SR04超聲波測(cè)距傳感器進(jìn)行測(cè)距。這個(gè)系統(tǒng)包括了超聲波測(cè)距模塊、FPGA模塊、電源、溫度測(cè)量模塊。由于在實(shí)驗(yàn)中環(huán)境相同所以溫度的誤差可以忽略不計(jì)。DE2系列的開發(fā)平臺(tái)有豐富的邏輯資源,大容量的存儲(chǔ)器、功耗低、能和各種外設(shè)相連接滿足不同的需求。Cyclone Ⅳ EP4CE115為FPGA的最大器件。這個(gè)芯片有114480個(gè)邏輯單元,3.9Mbits的隨機(jī)存儲(chǔ)器、226個(gè)乘法器。 圖3.4.1 Cyclone Ⅳ EP4CE115 3.5 FPGA 內(nèi)部電路模塊 第

31、4章 VHDL軟件系統(tǒng)設(shè)計(jì) VHDL是用于數(shù)字系統(tǒng)的編碼模型。VHDL是一種編程語言,它允許在動(dòng)態(tài)環(huán)境中建模和開發(fā)復(fù)雜的數(shù)字系統(tǒng),它描述了數(shù)字的結(jié)構(gòu)及其相應(yīng)的功能。在電子工程這個(gè)領(lǐng)域里面,一個(gè)相對(duì)來說完整度比較高的VHDL程序由庫、程序包、實(shí)體、構(gòu)造體、配置等組成。 VHDL與其他語言相比塊內(nèi)語句執(zhí)行是同時(shí)進(jìn)行的,它能夠?qū)⒉煌瑢哟蔚碾娐访枋龀鰜?,同一期間還可以根據(jù)自身的需求來對(duì)數(shù)據(jù)類型進(jìn)行定義,從而使得設(shè)計(jì)能夠更加的自由。大多數(shù)EDA工具都支持VHDL,在設(shè)計(jì)過程中它方便閱讀能夠修改設(shè)計(jì)比較靈活。它能被不同的工具支持所以移植能力很強(qiáng)。 根據(jù)FPGA模塊化的繼承設(shè)計(jì)方法超聲波測(cè)距系統(tǒng)采用L

32、ibero Soc集成開發(fā)。軟件上是使用從上到下的模塊化設(shè)計(jì)方法,這里使用VHDL實(shí)現(xiàn)。軟件程序分成5個(gè)部分:主模塊、驅(qū)動(dòng)超聲波模塊、分頻、7段數(shù)碼管顯示 圖4.0.1 軟件編寫頁面 圖4.0.2 測(cè)距模塊的I/O clk:為系統(tǒng)時(shí)鐘信號(hào)。 echo:當(dāng)傳感器發(fā)射出的超聲波遇到目標(biāo)物的時(shí)候反射的回波再輸入到傳感器中。 trig:接收觸發(fā)信號(hào)使得傳感器內(nèi)部產(chǎn)生脈沖信號(hào)。 seg[0...3]是計(jì)算出傳感器到目標(biāo)物體的距離的數(shù)碼管對(duì)應(yīng)顯示數(shù)據(jù)。 4.1驅(qū)動(dòng)超聲波模塊 模塊功能:獲得測(cè)量的距離 參數(shù)說明:clk:1MHz系統(tǒng)時(shí)鐘信號(hào) echo:回響輸入 trig:觸發(fā)信

33、號(hào),觸發(fā)時(shí)間約為12us Dis:輸出測(cè)量的時(shí)間,單位為1us L = Dis*340/2/10^6m module MessureDistance(clk,echo,trig,Dis); input clk; //輸入1MHz系統(tǒng)時(shí)鐘信號(hào); input echo; //超聲波回響信號(hào)輸出 output reg trig; //超聲波的觸發(fā) output reg[15:0]Dis; //輸出測(cè)得的距離,精度2mm,可測(cè)0~4000mm reg [15:0]count1; //計(jì)數(shù)器,計(jì)數(shù)到12時(shí),得到12us時(shí)間

34、,發(fā)出高電平觸發(fā)超聲波模塊 reg [15:0]count2; //計(jì)數(shù)器,用于 計(jì)算回響信號(hào)持續(xù)的時(shí)間,單位:us reg [15:0]temp,temp1;//初始化時(shí)將觸發(fā),回響都置0 initial begin trig <= 0; count1 <= 0; count2 <= 0; End 這個(gè)模塊是驅(qū)動(dòng)超聲波的功能以獲得測(cè)量的距離。輸出的Dis信號(hào)會(huì)時(shí)刻變化,所以使用的時(shí)候要對(duì)簡(jiǎn)單的范圍進(jìn)行補(bǔ)繳,每單位發(fā)射和接收的信號(hào)時(shí)間為1us,這樣距離L = Dis*340/2/10^6m 4.2 分頻 module DevideF

35、requency_1MHz( input CLK, //輸入系統(tǒng)50MHz時(shí)鐘信號(hào) output clk_1MHz //輸出1MHz時(shí)鐘信號(hào) ); reg [4:0]count; //用作分頻,將50MHz分成1MHz reg clock; initial count = 0; always@(posedge CLK) begin if(count == 5'b11001) begin count = 1'b0; clock = ~clock; end else count

36、 = count + 1'b1; end assign clk_1MHz = clock; Endmodule 4.3 七段數(shù)碼管顯示 模塊功能:七段數(shù)碼管的譯碼顯示 參數(shù)說明: iflag:0:共陰;1:共陽 iA: 四位數(shù)據(jù)輸入 oY: 數(shù)碼管的七段輸出 module seg_decoder(iflag,iA,oY); input iflag; input [3:0] iA; output reg [7:0] oY; always @(iflag or iA) begin case (iA) 4'b0000:oY = 8'h3f;

37、//0 4'b0001:oY = 8'h06; //1 4'b0010:oY = 8'h5b; //2 4'b0011:oY = 8'h4f; //3 4'b0100:oY = 8'h66; //4 4'b0101:oY = 8'h6d; //5 4'b0110:oY = 8'h7d; //6 4'b0111:oY = 8'h27; //7 4'b1000:oY = 8'h7f; //8 4'b1001:oY = 8'h6f; //9 4'b1010:oY = 8'h77; //A 4'b1011:oY

38、= 8'h7c; //B 4'b1100:oY = 8'h58; //C 4'b1101:oY = 8'h5e; //D 4'b1110:oY = 8'h79; //E 4'b1111:oY = 8'h71; //F endcase if (!iflag) oY = ~oY; end Endmodule 4.4 主模塊 always @(Dis) begin d2 <= Dis*340/2000; end seg_decoder seg_1(1'b0,d2[3:0],D1[7:0]); seg_decoder seg_2

39、(1'b0,d2[7:4],D1[15:8]); seg_decoder seg_3(1'b0,d2[11:8],D1[23:16]); seg_decoder seg_4(1'b0,d2[15:12],D1[31:24]); always @(posedge c) begin if (count==2'b11) count = 0; else count = count+1'b1; end always @(posedge c) case(count) 2'b00: begin seg0 <= D1[7:0]; end 2'b01:

40、begin seg1 <= D1[15:8]; end 2'b10: begin seg2 <= D1[23:16]; end 2'b11: begin seg3 <= D1[31:24]; end 4.5 超聲波測(cè)距結(jié)果 圖4.4.1 超聲波測(cè)距實(shí)驗(yàn)結(jié)果1 超聲波測(cè)距較為精確的最小值4cm。 圖4.4.2 超聲波測(cè)距實(shí)驗(yàn)結(jié)果2 超聲波測(cè)距較精準(zhǔn)的數(shù)據(jù)8cm。 圖4.4.3 超聲波測(cè)距實(shí)驗(yàn)結(jié)果3 第5章 總結(jié)與展望 5.1 總結(jié) 本次研究主要以FPGA為搭建平臺(tái)的超聲

41、波測(cè)距系統(tǒng)的設(shè)計(jì),相比較其他以單片機(jī)為搭建平臺(tái)設(shè)計(jì)的超聲波測(cè)距系統(tǒng),F(xiàn)PGA的時(shí)序性更好使用頻率計(jì)數(shù)測(cè)得傳感器與障礙物之間的超聲波傳輸時(shí)間計(jì)算得到它們之間的距離。 (1) 了解超聲波的特性和研究超聲波傳感器HC-SR04的內(nèi)部結(jié)構(gòu)以及它的工作原理,包括引腳接收的信號(hào)。 (2) 了解FPGA的基本結(jié)構(gòu)、特性和使用方法。使用了Altera公司的EP4CE115E29C7N型號(hào)的FPGA搭建超聲波測(cè)距系統(tǒng)的設(shè)計(jì)平臺(tái)。 (3) 使用VHDL硬件語言,分模塊設(shè)計(jì)從頂層到底層子模塊到主模塊。模塊分為主模塊、驅(qū)動(dòng)超聲波模塊、分頻、7段數(shù)碼管顯示等結(jié)合超聲波傳感器HC-SR04完成系統(tǒng)。 該測(cè)距系

42、統(tǒng)使用HC-SR04超聲波傳感器設(shè)計(jì)的簡(jiǎn)便實(shí)時(shí)的較近距離的超聲波測(cè)距功能 5.2 展望 這個(gè)系統(tǒng)在設(shè)計(jì)上初步的達(dá)到了超聲波測(cè)距的要求,不過在需要測(cè)量的距離相對(duì)來說比較長(zhǎng)的時(shí)候可能精度比較差,因?yàn)閷?shí)際環(huán)境中可能出現(xiàn)的影響因素太多,若要將其落實(shí)到生產(chǎn)實(shí)際中的話,還需要根據(jù)具體的情況在各個(gè)方面加以改進(jìn)。使用的FPGA可編程邏輯器件也可能會(huì)受到環(huán)境局的干擾。之后也可以結(jié)合實(shí)際的應(yīng)用類似于倒車可以加入報(bào)警系統(tǒng)來起到提示的作用。 隨著科學(xué)技術(shù)的發(fā)展,超聲波在傳感器中的應(yīng)用越來越廣。并且超聲波傳感器的價(jià)格比較低廉,所以超聲波傳感器能在生活和工業(yè)上得到很好的應(yīng)用?,F(xiàn)在的傳感技術(shù)比較有限,還有足夠的上升空

43、間和無限發(fā)展的前景。在未來為了滿足日益發(fā)展的社會(huì)需求它的精度可以更高能夠測(cè)量的范圍更大。在無人機(jī)方面它已經(jīng)被應(yīng)用其中還有聲納等在海洋軍事等方面的應(yīng)用,能夠研發(fā)出高精度的被動(dòng)測(cè)距聲納,以在海洋中完成隱藏和攻擊的準(zhǔn)備工作和識(shí)別目標(biāo)的效果,解決潛艇的噪聲較大的問題。超聲波傳感器結(jié)合未來的各個(gè)方面的智能化和自動(dòng)化并和其它的傳感器結(jié)合成多傳感器,傳感器的技術(shù)和科技的發(fā)展傳感器會(huì)具有多種判斷功能,之后可能還有學(xué)習(xí)功能為滿足社會(huì)的需要發(fā)揮更大的作用。 參考文獻(xiàn) [1] 吳超. 基于FPGA的超聲波測(cè)距系統(tǒng)[D]. 武漢理工大學(xué), 2009. [2] Hung M H , Chen Y T ,

44、 Cheng J Y , et al. Development Scheme of SoPC-Based Reconfigurable Controllers[C]// IEEE International Conference on Networking. IEEE, 2006. [3] 李戈, 孟祥杰, 王曉華, et al. 國(guó)內(nèi)超聲波測(cè)距研究應(yīng)用現(xiàn)狀[J]. 測(cè)繪科學(xué), 2011, 36(4):60-62. [4] Joshi N N, Dakhole P K, Zode P P. Embedded Web Server on Nios II Embedded FPGA Platf

45、orm[C]// Second International Conference on Emerging Trends in Engineering & Technology. 2009. [5] 蘇煒, 龔壁建, 潘笑. 超聲波測(cè)距誤差分析[J]. 傳感器技術(shù), 2004, 23(6):8-11. [6] 胡建愷, 張謙琳. 超聲檢測(cè)原理和方法[M]. 1. [7] 李茂山. 超聲波測(cè)距原理及實(shí)踐技術(shù)[J]. 實(shí)用測(cè)試技術(shù), 1994(1):12-20. [8] 蘇舟. FPGA-現(xiàn)場(chǎng)可編程門陣列[J]. 微處理機(jī), 1993(1):61-62. [9] 莊靜竹. EDA技術(shù)中的硬

46、件描述語言——Verilog HDL[J]. 廈門理工學(xué)院學(xué)報(bào), 2001, 9(4):68-73. [10] 王紅云, 姚志敏, 王竹林, et al. 超聲波測(cè)距系統(tǒng)設(shè)計(jì)[J]. 儀表技術(shù), 2010(11):47-49. [11] 楊恒. FPGA/CPLD最新實(shí)用技術(shù)指南[M]. 清華大學(xué)出版社, 2005. 致 謝 首先我要感謝我的指導(dǎo)老師張國(guó)萍,在我做畢業(yè)設(shè)計(jì)初期的時(shí)候老師沒有做過多干預(yù),讓自己搜索的資料理解完成了開題,之后和我討論了畢業(yè)設(shè)計(jì)的大致方向老師很希望我能及早開始畢業(yè)設(shè)計(jì)并給了一些建議,但是我在完成過程效率不高老師幫助

47、了很多。我的思路不是很清晰,經(jīng)過老師的幫忙,讓我具體完成時(shí)思路逐漸清晰。在承擔(dān)繁重的教學(xué)和工作任務(wù)的狀況下,她主動(dòng)關(guān)心我畢業(yè)設(shè)計(jì)的進(jìn)度。從論文的選題、開題報(bào)告的撰寫、資料的查找,完成設(shè)計(jì),都給予指導(dǎo)。在完成初稿后,老師認(rèn)真查看了我的文章,指出了我存在的很多問題。在此十分感謝張老師的細(xì)心指導(dǎo),才能讓我順利完成畢業(yè)設(shè)計(jì)。 與此同時(shí),我要感謝我的母校,學(xué)校提供了學(xué)習(xí)成長(zhǎng)的良好環(huán)境,多樣的活動(dòng)鍛煉了我多方面的發(fā)展。之后我想要感謝我的兩個(gè)班主任,認(rèn)真的任課老師、負(fù)責(zé)的院領(lǐng)導(dǎo)和輔導(dǎo)員、還有朝夕相處的同學(xué),互相學(xué)習(xí)互相幫助成長(zhǎng)了許多。最后想要感謝我的父母家人,養(yǎng)育著我支持著我讓我投入到學(xué)習(xí)中。同時(shí)在百忙之

48、中評(píng)閱論文和參加答辯的各位專家、教授! 張潤(rùn)玥 2018年5月10日 附錄 1、超聲波測(cè)距主程序 module Messure_Test(Clock,echo,trig,seg1,seg0,seg2,seg3); input Clock; output trig; input echo; output [7:0]seg0; output [7:0]seg1; output [7:0]seg2; output [7:0]seg3; reg [7:0]seg0; reg [7:0]seg1; reg [7:0]seg2;

49、 reg [7:0]seg3; wire [15:0]Dis; wire [31:0]D1; reg [15:0]d2; reg [1:0]count; wire clk; wire iclk; wire c; initial begin seg0[7] = 1'b1; seg1[7] = 1'b1; seg2[7] = 1'b1; seg3[7] = 1'b1; // AN <= 4'b1111; count <= 0; end DevideFrequency_1MHz unit1(Clock,clk);

50、 DevideFrequency_1MHz unit2(clk,iclk); DevideFrequency_1MHz unit3(iclk,c); MessureDistance M(clk,echo,trig,Dis); always @(Dis) begin d2 <= Dis*340/2000; end seg_decoder seg_1(1'b0,d2[3:0],D1[7:0]); seg_decoder seg_2(1'b0,d2[7:4],D1[15:8]); seg_decoder seg_3(1'b0,d2[11:8],D1[23:16]); seg

51、_decoder seg_4(1'b0,d2[15:12],D1[31:24]); always @(posedge c) begin if (count==2'b11) count = 0; else count = count+1'b1; end always @(posedge c) case(count) 2'b00: begin seg0 <= D1[7:0]; end 2'b01: begin seg1 <= D1[15:8]; end 2'b10: begin seg2 <= D1[23:16]

52、; end 2'b11: begin seg3 <= D1[31:24]; end endcase endmodule 2、 分頻 module DevideFrequency_1MHz( input CLK, output clk_1MHz ); reg [4:0]count; reg clock; initial count = 0; always@(posedge CLK) begin if(count == 5'b11001) begin count =

53、 1'b0; clock = ~clock; end else count = count + 1'b1; end assign clk_1MHz = clock; endmodule 3、 七段數(shù)碼管譯碼顯示 module seg_decoder(iflag,iA,oY); input iflag; input [3:0] iA; output reg [7:0] oY; always @(iflag or iA) begin case (iA) 4'b0000:oY = 8'h3f; //0 4'b

54、0001:oY = 8'h06; //1 4'b0010:oY = 8'h5b; //2 4'b0011:oY = 8'h4f; //3 4'b0100:oY = 8'h66; //4 4'b0101:oY = 8'h6d; //5 4'b0110:oY = 8'h7d; //6 4'b0111:oY = 8'h27; //7 4'b1000:oY = 8'h7f; //8 4'b1001:oY = 8'h6f; //9 4'b1010:oY = 8'h77; //A 4'b1011:oY = 8'h7c; //

55、B 4'b1100:oY = 8'h58; //C 4'b1101:oY = 8'h5e; //D 4'b1110:oY = 8'h79; //E 4'b1111:oY = 8'h71; //F endcase if (!iflag) oY = ~oY; end endmodule 4、 驅(qū)動(dòng)超聲波以及測(cè)量距離 module MessureDistance(clk,echo,trig,Dis); input clk; input echo; output re

56、g trig; output reg[15:0]Dis; reg [15:0]count1; reg [15:0]count2; reg [15:0]temp,temp1; initial begin trig <= 0; count1 <= 0; count2 <= 0; //count3 <= 0; end always @(posedge clk) begin if(count1 == 16'hffff) count1 = 0; else count1 = count1 + 1

57、'b1; end always @(posedge clk) begin if(count1 == 16'b0000_0000_0000_0000) trig = 1'b1; if(count1 == 16'b0000_0000_0000_1010) trig = 1'b0; end always @(posedge clk) begin if(echo == 1'b1) count2 = count2+1'b1; else count2 = 1'b0; end always @(neged

58、ge echo) begin temp1 = count2; end always @(negedge echo) begin if(temp1-temp>2'b11|temp-temp1>2'b11) temp = temp1; end always @(posedge clk) begin end always @(posedge clk) begin Dis = temp; end endmodule 5、 管腳配置 Clock Input PIN_Y2 2 B2_N0 2.5 V

59、(default) 8mA (default) echo Input PIN_AB22 4 B4_N0 2.5 V (default) 8mA (default) seg0[7] Output 2.5 V (default) 8mA (default) 2 (default) seg0[6] Output PIN_H22 6 B6_N0 2.5 V (default) 8mA (default) 2 (default) seg0[5] Output PIN_J22 6 B6_N0 2.5 V (default) 8mA (default) 2 (de

60、fault) seg0[4] Output PIN_L25 6 B6_N1 2.5 V (default) 8mA (default) 2 (default) seg0[3] Output PIN_L26 6 B6_N1 2.5 V (default) 8mA (default) 2 (default) seg0[2] Output PIN_E17 7 B7_N2 2.5 V (default) 8mA (default) 2 (default) seg0[1] Output PIN_F22 7 B7_N0 2.5 V (default) 8mA (defaul

61、t) 2 (default) seg0[0] Output PIN_G18 7 B7_N2 2.5 V (default) 8mA (default) 2 (default) seg1[7] Output 2.5 V (default) 8mA (default) 2 (default) seg1[6] Output PIN_U24 5 B5_N0 2.5 V (default) 8mA (default) 2 (default) seg1[5] Output PIN_U23 5 B5_N1 2.5 V (default) 8mA (default) 2

62、(default) seg1[4] Output PIN_W25 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg1[3] Output PIN_W22 5 B5_N0 2.5 V (default) 8mA (default) 2 (default) seg1[2] Output PIN_W21 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg1[1] Output PIN_Y22 5 B5_N0 2.5 V (default) 8mA (def

63、ault) 2 (default) seg1[0] Output PIN_M24 6 B6_N2 2.5 V (default) 8mA (default) 2 (default) seg2[7] Output 2.5 V (default) 8mA (default) 2 (default) seg2[6] Output PIN_W28 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg2[5] Output PIN_W27 5 B5_N1 2.5 V (default) 8mA (default)

64、 2 (default) seg2[4] Output PIN_Y26 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg2[3] Output PIN_W26 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg2[2] Output PIN_Y25 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg2[1] Output PIN_AA26 5 B5_N1 2.5 V (default) 8mA

65、(default) 2 (default) seg2[0] Output PIN_AA25 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) seg3[7] Output 2.5 V (default) 8mA (default) 2 (default) seg3[6] Output PIN_Y19 4 B4_N0 2.5 V (default) 8mA (default) 2 (default) seg3[5] Output PIN_AF23 4 B4_N0 2.5 V (default) 8mA (de

66、fault) 2 (default) seg3[4] Output PIN_AD24 4 B4_N0 2.5 V (default) 8mA (default) 2 (default) seg3[3] Output PIN_AA21 4 B4_N0 2.5 V (default) 8mA (default) 2 (default) seg3[2] Output PIN_AB20 4 B4_N0 2.5 V (default) 8mA (default) 2 (default) seg3[1] Output PIN_U21 5 B5_N0 2.5 V (default) 8mA (default) 2 (default) seg3[0] Output PIN_V21 5 B5_N1 2.5 V (default) 8mA (default) 2 (default) trig Output PIN_AC15 4 B4_N2 2.5 V (default) 8mA (default) 2 (default) <>

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!